Design For Test. VLSI Design I. Design for Test. page 1. What can we do to increase testability?

Size: px
Start display at page:

Download "Design For Test. VLSI Design I. Design for Test. page 1. What can we do to increase testability?"

Transcription

1 VLS esign esign for Test esign For Test What can we do to increase ability? He s dead Jim... Overview design for architectures ad-hoc, scan based, built-in in Goal: You are familiar with ability metrics and you know ad-hoc structures as well as scan- based structures. uilt in structures as LO and boundary scan can be applied. increase observability add more pins (?!) add small probe bus, selectively enable different values onto bus use a hash function to compress a sequence of values (e.g., the values of a bus over many clock cycles) into a small number of bits for later read-out cheap read-out of all state information increase controllability use muxes to isolate sub-modules and select sources of data as inputs provide easy setup of internal state esign strategies for (design for ability): ad ad-hoc ing scan scan-based approaches self self- and built-in in ing MicroLab, VLS-23 (/24) MicroLab, VLS-23 (2/24) JMM v.3 JMM v.3 page

2 d-hoc ing # d-hoc ing #2 vdd d-hoc techniques are a collection of ideas aimed at reducing the time. ommon techniques are: partitioning large sequential circuits adding points adding multiplexers providing for easy state access = = = = co 3 3 co 2 2 co co half-adder adder vdd = = = = co 3 3 co 2 2 co co vdd... MicroLab, VLS-23 (3/24) = = = = co 3 3 co 2 2 co co inp control Module bus oriented technique bus unit unit 2 unit 3 unit 4 multiplexer based ing Module control out 2 inp out Module Module Module : {,2}={,} MicroLab, VLS-23 (4/24) Module Module JMM v.3 JMM v.3 page 2

3 Scan-based techniques # dea: : have a in which all registers are chained into one giant shift register which can be ed/ read-out bit serially. Test remaining (combinational) logic by () in, shift in new values for all register bits thus setting up the inputs to the combinational logic (2) clock the circuit once in normal, latching the outputs of the combinational logic back into the registers (3) in, shift out the values of all register bits and compare against expected results. One can shift in new values at the same time (i.e., combine steps and 3).... scan-out L shift out normal/ shift in normal/ scan-in normal/ Scan-based techniques #2 serial scan scan-out L L2 scan-in serial scan chain Scan registers partial serial scan: sometimes it is not area and speed efficient to implement scan in every location where a register is used (signal processing) R L R4 L L R2 L R5 R6 R3 MicroLab, VLS-23 (5/24) MicroLab, VLS-23 (6/24) JMM v.3 JMM v.3 page 3

4 Level sensitive scan design Scan Elements popular approach is the level sensitive scan design technique from T.W. Williams (LSS) c shift- c2 the circuit is level sensitive (steady state response is independent of circuit and wire delays within a circuit): hazard free each register may be converted to a serial shift register T L L 2 T 2 shift- c serial data in shift data into reg reg c2 omb logic normal operation reg shift reg out serial data out LSS scan FF T TE TE TE L T b a TE a b T L L 2 T a b T 2 a L 2 b T 2 MicroLab, VLS-23 (7/24) MicroLab, VLS-23 (8/24) JMM v.3 JMM v.3 page 4

5 Self-Test Techniques: LO Problem: Scan-based approach is great for ing combinational logic but can be impractical when trying to memory blocks, etc. because b of the number of separate values required to get adequate fault f coverage. Solution: use on-chip circuitry to generate data and check the results. an be used at every power-on on to verify correct operation! Linear Feedback Shift Register (LFSR) f i s are not programmable, can eliminate N gates and some XOR gates... = = = =.... c c 2 c 3 c n- c n normal/ FSM Generate pseudo-random data for most circuits by using, e.g., a linear feedback shift register (LFSR). Memory s use more systematic FSMs to create R and T patterns. circuit under FSM okay For pseudo-random input data simply compute some hash of output values and compare against expected value ( signature ) at end of. Memory data can be checked cycle-by by-cycle. + m 2 3 n c x + c2x + c3x cn x + with a small number of XOR gates the cycle time is very fast. ycle through fixed sequence of states (can be as long as 2 n - for some n s). Handy for large modulo-n n counters. different responses for different initial states different responses for different c i pseudo-random sequence generator (PRSG) c n x n MicroLab, VLS-23 (9/24) MicroLab, VLS-23 (/24) JMM v.3 JMM v.3 page 5

6 serial in = Signature nalysis signature analysis is used to compact a data stream into a so called signature different responses for different c i, many well- known R (cyclic redundancy check) polynomials correspond to a specific choice of c s. i = parallel in = = c c = = c 2 = c 2 = c =.... = = n- c n- = MicroLab, VLS-23 (/24) c n z q z 2 q 2 z n- q n- z n q n LFSR Polynomials polynomials for maximal long sequences for n equal up to 32 n f(x),2,3,4,6,7,5,22 +x+x n 5,,2,29 +x 2 +x n,7,2,25,28,3 +x 3 +x n 9 +x 4 +x n 23 +x 5 +x n 8 +x 7 +x n 8 +x 2 +x 3 +x 4 +x n 2 +x+x 4 +x 6 +x n 3 +x+x 3 +x 4 +x n 4,6 +x 3 +x 4 +x 5 +x n 9,27 +x+x 2 +x 5 +x n 24 +x+x 2 +x 7 +x n 26 +x+x 2 +x 6 +x n 3 +x+x 2 +x +x n 32 +x+x 2 +x +x n examples of R s n R 8 +x+x 4 +x 5 +x 7 +x 8 6 +x 2 +x +x MicroLab, VLS-23 (2/24) JMM v.3 JMM v.3 page 6

7 LO # Very popular built-in in structure is the built-in in logic block observation (LO) from Koenemann LO operate in 4 different s parallel register PRSG or signature analysis scan reset LO LO normal operation register of circuit register LO LO normal operation signature PRSG of circuit analysis LO LO normal operation scan of circuit scan LO LO normal operation reset of circuit reset c c scan in LO #2 example of a LO element with polynomials +x+x = = = = = scan out c c function scan reset PRSG or signature analyzer parallel registers MicroLab, VLS-23 (3/24) MicroLab, VLS-23 (4/24) JMM v.3 JMM v.3 page 7

8 Testing V GN -me meter er (measures ) dea: MOS logic should draw no current when it s not switching. So after initializing circuit to eliminate tri-state fights, disable pseudo-nmos gates, etc., the power-supply current should be zero after all signals have settled. System-Level Test: oundary Scan The EEE 49. boundary scan architecture provides a standardized serial scan path through the /O pins of a chip (also called JTG) at the board level, chips obeying the standard may be connected in a variety of series and parallel combinations for board ing (replacing bead of nails) standardized s: connectivity s between components sampling and setting chip /Os distribution an collection of self- or built-in in- results serial interconnect P interconnect Good for detecting bridging faults (shorts). May want to try several different circuit states to ensure all parts of the chip have been observed. MicroLab, VLS-23 (5/24) O pad and boundary cell serial data in MicroLab, VLS-23 (6/24) serial data out JMM v.3 JMM v.3 page 8

9 oundary Scan: Test ccess Port oundary Scan: TP controller The access port (TP) is a definition of the interface that needs to be included in an TK: clock input TMS: select T: date input TO: data output TRST: optional signal for asynchronous reset the TP the architecture data registers T instruction decode instruction registers clocks/control TK TP TMS controller (TRST) TO State machine for the TP controller. TMS is the control signal. -logic reset run-/idle select-r R-scan select-r R-scan capture-r capture-r shift-r shift-r exit-r exit-r pause-r pause-r exit2-r exit2-r update-r update-r MicroLab, VLS-23 (7/24) MicroLab, VLS-23 (8/24) JMM v.3 JMM v.3 page 9

10 FSM state shiftr clockr updater oundary-scan: R nstruction register (R): minimum 2 bits to next R bit data from last cell shiftr clockr updater capture-r shift-r TRST reset exit-r pause-r R bit exit2-r update-r oundary-scan: R TP data register (R) boundary scan registers T internal data register TO bypass register ( bit) boundary scan register is a special case of a data register. t allows circuit board interconnections to be ed, external components ed, and the state of the chip digital /Os to be sampled. The boundary scan register is mandatory. internal data registers are optional and add additional access to the circuit. the bypass register is a bit register used to bypass a whole chip. MicroLab, VLS-23 (9/24) MicroLab, VLS-23 (2/24) JMM v.3 JMM v.3 page

11 oundary-scan: R boundary scan input and output cells in P from chip last cell boundary scan bi-directional cell enable from chip shiftr clockr last cell shiftr clockr to chip last cell shiftr clockr shiftr clockr next cell shiftr clockr next cell next cell updater updater updater updater updater to chip MicroLab, VLS-23 (2/24) out P bidir P oundary scan: instructions Minimum 3 instructions ypass (all ): it is used to bypass any serial data registers in a chip with a bit register. This allows specific chips to be ed in a serial-scan scan chain without having to shift through the accumulated SR stages in all the chips Ex (all ): ing of off chip circuitry sample/pre: places the boundary scan registers (at the chips /O pins) in the R chain, and samples or pres the chips /Os optional recommended instructions: n: : single-step step ing of internal circuitry via the boundary scan registers Runbist: : run internal self-ing procedures within a chip MicroLab, VLS-23 (22/24) JMM v.3 JMM v.3 page

12 oming Up... Next time: Top down design. Hardware description languages, logic synthesis. Readings Weste: 7.3 through (ad-hoc scan-based ing) through (LO) (ddq ( ing) 7.5 (boundary scan) Exercises: VLS-22 Ex vlsi22. (difficulty: easy): calculate the pseudo- random sequence of an LFSR with the implemented polynomial +x+x 3 use the start value x= Result:,3,7,6,5,2,4,,... MicroLab, VLS-23 (23/24) MicroLab, VLS-23 (24/24) JMM v.3 JMM v.3 page 2

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Project Presentations

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Project Presentations EE241 - Spring 2000 Advanced Digital Integrated Circuits Lecture 28 Memory Project Presentations 293 Cory Tuesday, May 2, 2-4pm o Murmann, Baytekin o Borinski, Dogan, Markow o Smilkstein, Wong o Zanella,

More information

ECOM 4311 Digital System Design using VHDL. Chapter 9 Sequential Circuit Design: Practice

ECOM 4311 Digital System Design using VHDL. Chapter 9 Sequential Circuit Design: Practice ECOM 4311 Digital System Design using VHDL Chapter 9 Sequential Circuit Design: Practice Outline 1. Poor design practice and remedy 2. More counters 3. Register as fast temporary storage 4. Pipelined circuit

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

Lecture 3: Logic circuit. Combinational circuit and sequential circuit

Lecture 3: Logic circuit. Combinational circuit and sequential circuit Lecture 3: Logic circuit Combinational circuit and sequential circuit TRAN THI HONG HONG@IS.NAIST.JP Content Lecture : Computer organization and performance evaluation metrics Lecture 2: Processor architecture

More information

l Some materials from various sources! Soma 1! l Reduce test generation difficulty, especially

l Some materials from various sources! Soma 1! l Reduce test generation difficulty, especially cknowledgements! esign-for-test ethodologies! ani Soma! l Some materials from various sources! n r. hil igh, B! n rinciples of Testing Electronic Systems by S. ourad & Y. Zorian! n Essentials of Electronic

More information

A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems. Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University

A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems. Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University Outline of Presentation Need for Test & Overview of BIST

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

R.S. ENCODERS OF LOW POWER DESIGN

R.S. ENCODERS OF LOW POWER DESIGN R.S. ENCODERS OF LOW POWER DESIGN R. Anusha 1, D. Vemanachari 2 1 M.Tech, ECE Dept, M.R.C.E, Hyderabad, 2 PhD, Associate Professor and H.O.D, ECE Dept., M.R.C.E. Hyderabad Abstract High speed data transmission

More information

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Abstract Pseudo-exhaustive pattern generators for built-in self-test (BIST) provide high fault

More information

A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator

A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator Vol.2, Issue.3, May-June 22 pp-676-681 ISSN 2249-6645 A BIST Circuit for Fault Detection Using Recursive Pseudo- Exhaustive Two Pattern Generator K. Nivitha 1, Anita Titus 2 1 ME-VLSI Design 2 Dept of

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Design for Testability & Design for Debug

Design for Testability & Design for Debug EE-382M VLSI II Design for Testability & Design for Debug Bob Molyneaux Mark McDermott Anil Sabbavarapu EE 382M Class Notes Foil # 1 The University of Texas at Austin Agenda Why test? Scan: What is it?

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Testing Digital Systems II

Testing Digital Systems II Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture Logistics

More information

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1 Lecture 16: Testing, Design for Testability MAH, AEN EE271 Lecture 16 1 Overview Reading W&E 7.1-7.3 - Testing Introduction Up to this place in the class we have spent all of time trying to figure out

More information

Testing Digital Systems II. Problem: Fault Diagnosis

Testing Digital Systems II. Problem: Fault Diagnosis Testing Digital Systems II Lecture : Logic Diagnosis Instructor: M. Tahoori Copyright 26, M. Tahoori TDSII: Lecture Problem: Fault Diagnosis test patterns Circuit Under Diagnosis (CUD) expected response

More information

7. Introduction to mixed-signal testing using the IEEE P standard

7. Introduction to mixed-signal testing using the IEEE P standard 7. Introduction to mixed-signal testing using the IEEE P1149.4 standard It was already mentioned in previous chapters that the IEEE 1149.1 standard (BST) was developed with the specific purpose of addressing

More information

Vector-based Peak Current Analysis during Wafer Test of Flip-chip Designs

Vector-based Peak Current Analysis during Wafer Test of Flip-chip Designs University of Connecticut DigitalCommons@UConn Doctoral Dissertations University of Connecticut Graduate School 4-8-2013 Vector-based Peak Current Analysis during Wafer Test of Flip-chip Designs Wei Zhao

More information

Page 1. Last time we looked at: latches. flip-flop

Page 1. Last time we looked at: latches. flip-flop Last time we looked at: latches flip flops We saw that these devices hold a value depending on their inputs. A data input value is loaded into the register on the rise of the edge. Some circuits have additional

More information

I DDQ Current Testing

I DDQ Current Testing I DDQ Current Testing Motivation Early 99 s Fabrication Line had 5 to defects per million (dpm) chips IBM wanted to get 3.4 defects per million (dpm) chips Conventional way to reduce defects: Increasing

More information

On Built-In Self-Test for Adders

On Built-In Self-Test for Adders On Built-In Self-Test for s Mary D. Pulukuri and Charles E. Stroud Dept. of Electrical and Computer Engineering, Auburn University, Alabama Abstract - We evaluate some previously proposed test approaches

More information

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute.  From state elements ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: April 2, 2019 Sequential Logic, Timing Hazards and Dynamic Logic Lecture Outline! Sequential Logic! Timing Hazards! Dynamic Logic 4 Sequential

More information

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 COMINATIONAL and SEUENTIAL LOGIC CIRCUITS Hardware implementation and software design A La Rosa I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational circuits

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

6.111 Lecture # 19. Controlling Position. Some General Features of Servos: Servomechanisms are of this form:

6.111 Lecture # 19. Controlling Position. Some General Features of Servos: Servomechanisms are of this form: 6.111 Lecture # 19 Controlling Position Servomechanisms are of this form: Some General Features of Servos: They are feedback circuits Natural frequencies are 'zeros' of 1+G(s)H(s) System is unstable if

More information

Computer-Based Project in VLSI Design Co 3/7

Computer-Based Project in VLSI Design Co 3/7 Computer-Based Project in VLSI Design Co 3/7 As outlined in an earlier section, the target design represents a Manchester encoder/decoder. It comprises the following elements: A ring oscillator module,

More information

Written exam IE1204/5 Digital Design Friday 13/

Written exam IE1204/5 Digital Design Friday 13/ Written exam IE204/5 Digital Design Friday 3/ 207 08.00-2.00 General Information Examiner: Ingo Sander. Teacher: Kista, William Sandqvist tel 08-7904487 Teacher: Valhallavägen, Ahmed Hemani 08-7904469

More information

Section 1. Fundamentals of DDS Technology

Section 1. Fundamentals of DDS Technology Section 1. Fundamentals of DDS Technology Overview Direct digital synthesis (DDS) is a technique for using digital data processing blocks as a means to generate a frequency- and phase-tunable output signal

More information

Design for Test of Digital Systems TDDC33

Design for Test of Digital Systems TDDC33 ourse Outline Design for Test of Digital Systems TDD33 rik Larsson Department of omputer Science! Introduction; Manufacturing, afer sort, Final test, oard and System Test, Defects, and Faults! Test generation;

More information

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT 2-8.1 2-8.2 Spiral 2 8 Cell Mark Redekopp earning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as

More information

Old Company Name in Catalogs and Other Documents

Old Company Name in Catalogs and Other Documents To our customers, Old Company Name in Catalogs and Other Documents On April st, 2, NEC Electronics Corporation merged with Renesas Technology Corporation, and Renesas Electronics Corporation took over

More information

CS302 - Digital Logic Design Glossary By

CS302 - Digital Logic Design Glossary By CS302 - Digital Logic Design Glossary By ABEL : Advanced Boolean Expression Language; a software compiler language for SPLD programming; a type of hardware description language (HDL) Adder : A digital

More information

EXPERIMENT #5 COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

EXPERIMENT #5 COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 La Rosa EXPERIMENT #5 COMINTIONL and SEUENTIL LOGIC CIRCUITS Hardware implementation and software design I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational

More information

First Name: Last Name: Lab Cover Page. Teaching Assistant to whom you are submitting

First Name: Last Name: Lab Cover Page. Teaching Assistant to whom you are submitting Student Information First Name School of Computer Science Faculty of Engineering and Computer Science Last Name Student ID Number Lab Cover Page Please complete all (empty) fields: Course Name: DIGITAL

More information

Volterra. VT1115MF Pulse Width Modulation (PWM) Controller. Partial Circuit Analysis

Volterra. VT1115MF Pulse Width Modulation (PWM) Controller. Partial Circuit Analysis Volterra VT1115MF Pulse Width Modulation (PWM) Controller Partial Circuit Analysis For questions, comments, or more information about this report, or for any additional technical needs concerning semiconductor

More information

Controller Implementation--Part I. Cascading Edge-triggered Flip-Flops

Controller Implementation--Part I. Cascading Edge-triggered Flip-Flops Controller Implementation--Part I Alternative controller FSM implementation approaches based on: Classical Moore and Mealy machines Time state: Divide and Counter Jump counters Microprogramming (ROM) based

More information

Field Programmable Gate Array

Field Programmable Gate Array 9 Field Programmable Gate Array This chapter introduces the principles, implementation and programming of configurable logic circuits, from the point of view of cell design and interconnection strategy.

More information

Solutions. ICS 151 Final. Q1 Q2 Q3 Q4 Total Credit Score. Instructions: Student ID. (Last Name) (First Name) Signature

Solutions. ICS 151 Final. Q1 Q2 Q3 Q4 Total Credit Score. Instructions: Student ID. (Last Name) (First Name) Signature ICS 151 Final Name Student ID Signature :, (Last Name) (First Name) : : Instructions: 1. Please verify that your paper contains 19 pages including this cover and 3 blank pages. 2. Write down your Student-Id

More information

ICS 151 Final. (Last Name) (First Name)

ICS 151 Final. (Last Name) (First Name) ICS 151 Final Name Student ID Signature :, (Last Name) (First Name) : : Instructions: 1. Please verify that your paper contains 19 pages including this cover and 3 blank pages. 2. Write down your Student-Id

More information

Computer Architecture and Organization:

Computer Architecture and Organization: Computer Architecture and Organization: L03: Register transfer and System Bus By: A. H. Abdul Hafez Abdul.hafez@hku.edu.tr, ah.abdulhafez@gmail.com 1 CAO, by Dr. A.H. Abdul Hafez, CE Dept. HKU Outlines

More information

AC-JTAG: Empowering JTAG beyond Testing DC Nets

AC-JTAG: Empowering JTAG beyond Testing DC Nets AC-JTAG: Empowering JTAG beyond Testing C Nets Sung S.Chung and Sang H. Baeg Cisco Systems, Inc. 7 W. Tasman rive San Jose, CA 9534 ABSTRACT This paper presents the new technology that extends today s

More information

Digital Electronics 8. Multiplexer & Demultiplexer

Digital Electronics 8. Multiplexer & Demultiplexer 1 Module -8 Multiplexers and Demultiplexers 1 Introduction 2 Principles of Multiplexing and Demultiplexing 3 Multiplexer 3.1 Types of multiplexer 3.2 A 2 to 1 multiplexer 3.3 A 4 to 1 multiplexer 3.4 Multiplex

More information

On a Viterbi decoder design for low power dissipation

On a Viterbi decoder design for low power dissipation On a Viterbi decoder design for low power dissipation By Samirkumar Ranpara Thesis submitted to the Faculty of the Virginia Polytechnic Institute and State University in partial fulfillment of the requirements

More information

MT70003 SINGLE CHANNEL ARINC DECODER. Full MIL operating range Built in parity and word length error detection HIGH/LOW speed programmable

MT70003 SINGLE CHANNEL ARINC DECODER. Full MIL operating range Built in parity and word length error detection HIGH/LOW speed programmable SINGLE CHANNEL ARINC DECODER 16/24 bit parallel interface Automatic address recognition option on 8/10 bits Single 5V supply with low power coumption < 50mW Full MIL operating range Built in parity and

More information

Methods for Reducing the Activity Switching Factor

Methods for Reducing the Activity Switching Factor International Journal of Engineering Research and Development e-issn: 2278-67X, p-issn: 2278-8X, www.ijerd.com Volume, Issue 3 (March 25), PP.7-25 Antony Johnson Chenginimattom, Don P John M.Tech Student,

More information

Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications

Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications Integration of Optimized GDI Logic based NOR Gate and Half Adder into PASTA for Low Power & Low Area Applications M. Sivakumar Research Scholar, ECE Department, SCSVMV University, Kanchipuram, India. Dr.

More information

Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study

Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study Debugging a Boundary-Scan I 2 C Script Test with the BusPro - I and I2C Exerciser Software: A Case Study Overview When developing and debugging I 2 C based hardware and software, it is extremely helpful

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

12 BIT ACCUMULATOR FOR DDS

12 BIT ACCUMULATOR FOR DDS 12 BIT ACCUMULATOR FOR DDS ECE547 Final Report Aravind Reghu Spring, 2006 1 CONTENTS 1 Introduction 6 1.1 Project Overview 6 1.1.1 How it Works 6 1.2 Objective 8 2 Circuit Design 9 2.1 Design Objective

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

IEEE Standard Test Access Port and Boundary Scan Register for the ISL5216 (QPDC)

IEEE Standard Test Access Port and Boundary Scan Register for the ISL5216 (QPDC) TM IEEE Standard Test Access Port and Boundary Scan Register for the ISL5216 (PC) Application Note November 2001 AN9987.1 Russell avidson & ejan Radic Introduction: The test access port (TAP) provided

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

I hope you have completed Part 2 of the Experiment and is ready for Part 3.

I hope you have completed Part 2 of the Experiment and is ready for Part 3. I hope you have completed Part 2 of the Experiment and is ready for Part 3. In part 3, you are going to use the FPGA to interface with the external world through a DAC and a ADC on the add-on card. You

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

Pass Transistor and CMOS Logic Configuration based De- Multiplexers Abstract: Pass Transistor and CMOS Logic Configuration based De- Multiplexers 1 K Rama Krishna, 2 Madanna, 1 PG Scholar VLSI System Design, Geethanajali College of Engineering and Technology, 2 HOD Dept

More information

Keywords: VLSI; CMOS; Pass Transistor Logic (PTL); Gate Diffusion Input (GDI); Parellel In Parellel Out (PIPO); RAM. I.

Keywords: VLSI; CMOS; Pass Transistor Logic (PTL); Gate Diffusion Input (GDI); Parellel In Parellel Out (PIPO); RAM. I. Comparison and analysis of sequential circuits using different logic styles Shofia Ram 1, Rooha Razmid Ahamed 2 1 M. Tech. Student, Dept of ECE, Rajagiri School of Engg and Technology, Cochin, Kerala 2

More information

Types of Control. Programmed Non-programmed. Program Counter Hardwired

Types of Control. Programmed Non-programmed. Program Counter Hardwired Lecture #5 In this lecture we will introduce the sequential circuits. We will overview various Latches and Flip Flops (30 min) Give Sequential Circuits design concept Go over several examples as time permits

More information

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018

UNIVERSITY OF BOLTON SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018 UNIVERSITY OF BOLTON [EES04] SCHOOL OF ENGINEERING BENG (HONS) ELECTRICAL & ELECTRONICS ENGINEERING SEMESTER TWO EXAMINATION 2017/2018 INTERMEDIATE DIGITAL ELECTRONICS AND COMMUNICATIONS MODULE NO: EEE5002

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

Computer Architecture and Organization: L08: Design Control Lines

Computer Architecture and Organization: L08: Design Control Lines Computer Architecture and Organization: L08: Design Control Lines By: A. H. Abdul Hafez Abdul.hafez@hku.edu.tr, ah.abdulhafez@gmail.com, hafez@research.iiit.ac.in 1 CAO, by Dr. A.H. Abdul Hafez, CE Dept.

More information

Chapter 3. H/w s/w interface. hardware software Vijaykumar ECE495K Lecture Notes: Chapter 3 1

Chapter 3. H/w s/w interface. hardware software Vijaykumar ECE495K Lecture Notes: Chapter 3 1 Chapter 3 hardware software H/w s/w interface Problems Algorithms Prog. Lang & Interfaces Instruction Set Architecture Microarchitecture (Organization) Circuits Devices (Transistors) Bits 29 Vijaykumar

More information

Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication

Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication Time-Multiplexed Dual-Rail Protocol for Low-Power Delay-Insensitive Asynchronous Communication Marco Storto and Roberto Saletti Dipartimento di Ingegneria della Informazione: Elettronica, Informatica,

More information

L15: VLSI Integration and Performance Transformations

L15: VLSI Integration and Performance Transformations L15: VLSI Integration and Performance Transformations Acknowledgement: Materials in this lecture are courtesy of the following sources and are used with permission. Curt Schurgers J. Rabaey, A. Chandrakasan,

More information

Implementation of an experimental IEEE mixed signal test chip

Implementation of an experimental IEEE mixed signal test chip Implementation of an experimental IEEE 1149.4 mixed signal test chip Uroš Kač 1, Franc Novak 1, Florence Azaïs 2, Pascal Nouet 2, Michel Renovell 2 1 Jozef Stefan Institute, Ljubljana, Slovenia 2 LIRMM,

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

Data output signals May or may not be same a input signals

Data output signals May or may not be same a input signals Combinational Logic Part 2 We ve been looking at simple combinational logic elements Gates, buffers, and drivers Now ready to go on to larger blocks MSI - Medium Scale Integration or Integrate Circuits

More information

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor

Disseny físic. Disseny en Standard Cells. Enric Pastor Rosa M. Badia Ramon Canal DM Tardor DM, Tardor Disseny físic Disseny en Standard Cells Enric Pastor Rosa M. Badia Ramon Canal DM Tardor 2005 DM, Tardor 2005 1 Design domains (Gajski) Structural Processor, memory ALU, registers Cell Device, gate Transistor

More information

SSD1848. Advanced Information. 130 x 130 STN LCD Segment / Common 4G/S Driver with Controller

SSD1848. Advanced Information. 130 x 130 STN LCD Segment / Common 4G/S Driver with Controller SOLOMON SYSTECH SEMICONDUCTOR TECHNICAL DATA SSD1848 Advanced Information 130 x 130 STN LCD Segment / Common 4G/S Driver with Controller This document contains information on a new product. Specifications

More information

Partial Reconfigurable Implementation of IEEE802.11g OFDM

Partial Reconfigurable Implementation of IEEE802.11g OFDM Indian Journal of Science and Technology, Vol 7(4S), 63 70, April 2014 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Partial Reconfigurable Implementation of IEEE802.11g OFDM S. Sivanantham 1*, R.

More information

nmos, pmos - Enhancement and depletion MOSFET, threshold voltage, body effect

nmos, pmos - Enhancement and depletion MOSFET, threshold voltage, body effect COURSE DELIVERY PLAN - THEORY Page! 1 of! 7 Department of Electronics and Communication Engineering B.E/B.Tech/M.E/M.Tech : EC Regulation: 2016(Autonomous) PG Specialization : Not Applicable Sub. Code

More information

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations Sno Projects List IEEE 1 High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations 2 A Generalized Algorithm And Reconfigurable Architecture For Efficient And Scalable

More information

Unit level 4 Credit value 15. Introduction. Learning Outcomes

Unit level 4 Credit value 15. Introduction. Learning Outcomes Unit 20: Unit code Digital Principles T/615/1494 Unit level 4 Credit value 15 Introduction While the broad field of electronics covers many aspects, it is digital electronics which now has the greatest

More information

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer

Mohit Arora. The Art of Hardware Architecture. Design Methods and Techniques. for Digital Circuits. Springer Mohit Arora The Art of Hardware Architecture Design Methods and Techniques for Digital Circuits Springer Contents 1 The World of Metastability 1 1.1 Introduction 1 1.2 Theory of Metastability 1 1.3 Metastability

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

SCAN16512 Low Voltage Universal 16-bit IEEE Bus Transceiver with TRI-STATE Outputs

SCAN16512 Low Voltage Universal 16-bit IEEE Bus Transceiver with TRI-STATE Outputs SCAN16512 Low Voltage Universal 16-bit IEEE 1149.1 Bus Transceiver with TRI-STATE Outputs General Description The SCAN16512 is a high speed, low-power universal bus transceiver featuring data inputs organized

More information

Lecture 02: Digital Logic Review

Lecture 02: Digital Logic Review CENG 3420 Lecture 02: Digital Logic Review Bei Yu byu@cse.cuhk.edu.hk CENG3420 L02 Digital Logic. 1 Spring 2017 Review: Major Components of a Computer CENG3420 L02 Digital Logic. 2 Spring 2017 Review:

More information

Classic. Feature. EPLD Family. Table 1. Classic Device Features

Classic. Feature. EPLD Family. Table 1. Classic Device Features Classic EPLD Family May 1999, ver. 5 Data Sheet Features Complete device family with logic densities of 300 to 900 usable gates (see Table 1) Device erasure and reprogramming with non-volatile EPROM configuration

More information

Sequential Logic Circuits

Sequential Logic Circuits LAB EXERCISE - 5 Page 1 of 6 Exercise 5 Sequential Logic Circuits 1 - Introduction Goal of the exercise The goals of this exercise are: - verify the behavior of simple sequential logic circuits; - measure

More information

CONTENTS Sl. No. Experiment Page No

CONTENTS Sl. No. Experiment Page No CONTENTS Sl. No. Experiment Page No 1a Given a 4-variable logic expression, simplify it using Entered Variable Map and realize the simplified logic expression using 8:1 multiplexer IC. 2a 3a 4a 5a 6a 1b

More information

ML ML Bit A/D Converters With Serial Interface

ML ML Bit A/D Converters With Serial Interface Silicon-Gate CMOS SEMICONDUCTOR TECHNICAL DATA ML145040 ML145041 8-Bit A/D Converters With Serial Interface Legacy Device: Motorola MC145040, MC145041 The ML145040 and ML145041 are low-cost 8-bit A/D Converters

More information

TESTABLE VLSI CIRCUIT DESIGN FOR CELLULAR ARRAYS

TESTABLE VLSI CIRCUIT DESIGN FOR CELLULAR ARRAYS 12-08-98 SENIOR DESIGN PROJECT PROPOSAL PROJECT SUMMARY The main objective of this project is to design testability features that can potentially be included in any CMOS chip. For this particular design

More information

DS2165Q 16/24/32kbps ADPCM Processor

DS2165Q 16/24/32kbps ADPCM Processor 16/24/32kbps ADPCM Processor www.maxim-ic.com FEATURES Compresses/expands 64kbps PCM voice to/from either 32kbps, 24kbps, or 16kbps Dual fully independent channel architecture; device can be programmed

More information

72-Mbit QDR II SRAM 4-Word Burst Architecture

72-Mbit QDR II SRAM 4-Word Burst Architecture 72-Mbit QDR II SRAM 4-Word Burst Architecture Features Separate Independent Read and Write Data Ports Supports concurrent transactions 333 MHz Clock for High Bandwidth 4-word Burst for Reducing Address

More information

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700:

ELECTRICAL AND COMPUTER ENGINEERING DEPARTMENT, OAKLAND UNIVERSITY ECE-2700: SYNCHRONOUS SUNTIAL CIRCUITS Notes - Unit 6 ASYNCHRONOUS CIRCUITS: LATCHS SR LATCH: R S R t+ t t+ t S restricted SR Latch S R S R SR LATCH WITH NABL: R R' S R t+ t t+ t t t S S' LATCH WITH NABL: This is

More information

Fan in: The number of inputs of a logic gate can handle.

Fan in: The number of inputs of a logic gate can handle. Subject Code: 17333 Model Answer Page 1/ 29 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

MONOLITHIC QUAD 4-BIT PROGRAMMABLE DELAY LINE (SERIES 3D3444)

MONOLITHIC QUAD 4-BIT PROGRAMMABLE DELAY LINE (SERIES 3D3444) MONOLITHIC QUAD 4-BIT PROGRAMMABLE (SERIES 3D3444) 3D3444 FEATURES Four indep t programmable lines on a single chip All-silicon CMOS technology Low voltage operation (3.3V) Low quiescent current (1mA typical)

More information

Design of Baugh Wooley Multiplier with Adaptive Hold Logic. M.Kavia, V.Meenakshi

Design of Baugh Wooley Multiplier with Adaptive Hold Logic. M.Kavia, V.Meenakshi International Journal of Scientific & Engineering Research, Volume 6, Issue 4, April-2015 105 Design of Baugh Wooley Multiplier with Adaptive Hold Logic M.Kavia, V.Meenakshi Abstract Mostly, the overall

More information

SCAN16512A Low Voltage Universal 16-bit IEEE Bus Transceiver with TRI-STATE Outputs

SCAN16512A Low Voltage Universal 16-bit IEEE Bus Transceiver with TRI-STATE Outputs Low Voltage Universal 16-bit IEEE 1149.1 Bus Transceiver with TRI-STATE Outputs General Description The SCAN16512A is a high speed, low-power universal bus transceiver featuring data inputs organized into

More information

Datapath Testability Improvement through ad hoc Controller Modifications

Datapath Testability Improvement through ad hoc Controller Modifications Testability Improvement through ad hoc Controller Modifications M. L. Flottes, R. Pires, B. Rouzeyre Laboratoire d'informatique, de Robotique et de Micro-électronique de Montpellier, U.M. CNRS 5506 161

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA

COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC CSCD211- DEPARTMENT OF COMPUTER SCIENCE, UNIVERSITY OF GHANA COMPUTER ORGANIZATION & ARCHITECTURE DIGITAL LOGIC LOGIC Logic is a branch of math that tries to look at problems in terms of being either true or false. It will use a set of statements to derive new true

More information

SYNCHRONOUS stream ciphers are lightweight

SYNCHRONOUS stream ciphers are lightweight IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 22, NO. 9, SEPTEMBER 204 865 New Implementations of the WG Stream Cipher Hayssam El-Razouk, Arash Reyhani-Masoleh, Member, IEEE, and

More information

to Moore and McCluskey the following formula calculates this number:

to Moore and McCluskey the following formula calculates this number: An Introduction To Jtag/Boundary Scan Jtag/Boundary Scan is a test technology. It is the jump from physical access to a board s conductor tracks (necessary for the In-Circuit Test) with all its physical

More information

IJESRT. (I2OR), Publication Impact Factor: 3.785

IJESRT. (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY ERROR DETECTION USING BINARY BCH (55, 15, 5) CODES Sahana C*, V Anandi *M.Tech,Dept of Electronics & Communication, M S Ramaiah

More information

Laboratory Manual CS (P) Digital Systems Lab

Laboratory Manual CS (P) Digital Systems Lab Laboratory Manual CS 09 408 (P) Digital Systems Lab INDEX CYCLE I A. Familiarization of digital ICs and digital IC trainer kit 1 Verification of truth tables B. Study of combinational circuits 2. Verification

More information

Sequential Logic Circuits

Sequential Logic Circuits Exercise 2 Sequential Logic Circuits 1 - Introduction Goal of the exercise The goals of this exercise are: - verify the behavior of simple sequential logic circuits; - measure the dynamic parameters of

More information

B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics

B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics Sr. No. Date TITLE To From Marks Sign 1 To verify the application of op-amp as an Inverting Amplifier 2 To

More information

X-Canceling MISR - New Approach for X-Tolerant Output Compaction

X-Canceling MISR - New Approach for X-Tolerant Output Compaction X-Canceling MISR - New Approach for X-Tolerant Output Compaction Nur A. Touba Computer Engineering Research Center Dept. of Electrical and Computer Engineering University of Texas at Austin INTRODUCTION

More information