B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics

Size: px
Start display at page:

Download "B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics"

Transcription

1 B.E. SEMESTER III (ELECTRICAL) SUBJECT CODE: X30902 Subject Name: Analog & Digital Electronics Sr. No. Date TITLE To From Marks Sign 1 To verify the application of op-amp as an Inverting Amplifier 2 To verify the application of op-amp as an non-inverting Amplifier 3 To verify the application of op-amp as an Integrator 4 To verify the application of op-amp as a Differentiator 5 To verify the application of IC 555 as an Astable Multivibrator 6 To verify the operation of IC 7812 as a 12V voltage regulator. 7 To verify the application of op-amp as a Schmitt Trigger. 8 To study and verify Half adder and Full adder using logic gates 9 To study about the R-S Flip Flop, Clocked R-S Flip-Flop,J-K Flip Flop. 10 To study about various types of logic Gates.

2 Date: / /2014 PRACTICAL NO: - 1 AIM : To verify the application of op-amp as an Inverting Amplifier. Equipments: - Theory: - - Op-amp trainer Kit - Oscilloscope - Signal Generator The most widely used constant gain amplifier circuit is the inverting amplifier shown in the circuit diagram. The output of the amplifier is obtained by multiplying the input with constant of fixed gain, set by the input resistor R1 and feedback resistor RF. The output is also inverted at the output terminal that is the output has a 180 degree phase shift with the input voltage. The gain of the inverting amplifier is given by the following equation. A V = - (R F / R 1 ) Procedure: - - Connect the circuit as shown in the circuit diagram with R 1 = 1KΩ and R F = 10KΩ. - Use the signal generator to give 0.8V, 1 KHz sine wave supply to the inverting terminal of the op-amp as shown in the circuit diagram. - Observe the output voltage waveform on the Oscilloscope. - Measure the output voltage magnitude and put value of output voltage, R 1 and R F in observation table. - Repeat above steps for the new value of R 1 and R F. - Calculate the gain of amplifier as Av = Vout / Vin. - Compare this value with theoretical value - Av= - R F / R 1. - Draw the Input & Output voltage waveforms.

3 Observation Table: - V in = 0.8 volts Sr. No. R 1 (Ohms) R F (Ohms) Vin (v) V out (Volts) A v = Theoretical V out gain / V in Calculations: Conclusion: -

4 Date: / /2014 PRACTICAL NO: - 2 AIM : To verify the application of op-amp as a Non-Inverting Amplifier. Equipments: - - Op-amp trainer Kit - Oscilloscope - Signal Generator Theory: - The circuit diagram bellow shows the op-amp working as a non-inverting amplifier. It should be noted that the inverting amplifier connection is more widely used because it has better frequency stability. In case of the non-inverting amplifier the input is given to the non-inverting terminal of the op-amp. The gain of the non-inverting amplifier is given by the following equation. A V = 1 + (R F /R 1 ) Procedure: - - Connect the circuit as shown in the circuit diagram with R 1 = 1KΩ and R F = 10KΩ. - Use the signal generator to give 1V, 1KHz sine wave supply to the non-inverting terminal of the op-amp as shown in the circuit diagram. - Observe the output voltage waveform on the Oscilloscope. - Measure the output voltage magnitude and put value of output voltage, R 1 and R F in observation table. - Repeat above steps for the new value of R 1 and R F. - Calculate the gain of amplifier as Av = Vout / Vin. - Compare this value with theoretical value = (1 + (R F / R 1 )). - Draw the Input & Output voltage waveforms.

5 Observation Table: - V in = 1 volt Sr. No. R 1 (Ohms) R F (Ohms) V out (Volts) A v = V out / V in Theoretical gain Calculations: Conclusion: -

6 Date: / /2014 PRACTICAL NO: - 3 AIM : To verify the application of op-amp as an Integrator. Equipments: - Theory: - - Op-amp trainer Kit - Oscilloscope - Signal Generator. A circuit in which the output voltage waveform is the integral of the input voltage wave form is the integrator or the integration amplifier. Such a circuit is obtained by using a basic inverting amplifier configuration if the feedback resister R F is replaced by a capacitor C F as shown in the figure bellow. The expression for output voltage V 0 can be obtained by writing Kirchhoff s current equation at node v 2, Since I B is negligibly small, i 1 = I B + i F The relation between current through and voltage across the capacitor is Therefore,

7 However, V 1 = V 2 = 0 V because A is very large. Therefore, The output voltage can be obtained by integrating both sides with respect to time: Therefore, Where C is the integration constant and is proportional to the value of the out put voltage V 0 at the time = 0 second. Equation, above, indicates that the output voltage is directly proportional to the negative integral of the input voltage and inversely proportional to the time constant R 1 C F. for the example, if the input is a sine wave, the output will be a triangular wave. When V in =0, the integrator of figure above works as an open loop amplifier. This is because the capacitor C F acts as an open circuit (Xc F = oo ) to the input offset voltage V io. In the other words, the input offset voltage V io and the part of the input current charging capacitor produce the error voltage at the output of the integrator. Therefore in the practical integrator to reduce the error voltage at the output voltage, R F is connected across the feed back capacitor C F. Thus R F limits the low frequency gain and hence minimizes the variations in the output voltage. Procedure: - - Connect the circuit as shown in the circuit diagram. - Use the signal generator to give 1V, 1.8KHz, Square wave supply to the inverting terminal of the op-amp as shown in the circuit diagram. - Observe the output voltage waveform on the Oscilloscope. - Repeat above steps for the 1 V, 1.8 KHz sine wave and triangular wave supply. - Draw the Input & output wave form for each case. Conclusion: -

8 Date: / /2014 PRACTICAL NO: - 4 AIM : To verify the application of op-amp as a Differentiator. Equipments: - Theory: - - Op-amp trainer Kit. - Oscilloscope. - Signal Generator. As its name implies, the circuit performs the mathematical operation of the differentiation. That is the output wave form is the derivative of the input wave form. The differentiator may be constructed from a basic inverting amplifier if an input resister R 1 is replaced by a capacitor C 1 as shown in figure bellow. The expression for the output voltage can be obtain from Kirchhoff s current equation written at node V 2 as follows, Since, I C = I B + i F But, V 1 = V 2 = 0V, because A is very large.

9 Therefore, or Thus the output V 0 is equal to R F C 1 times the negative instantaneous rate of change of the input voltage V in with time. Since the differentiator perform the reverse of the integrator s function, a cosine wave input will produce a sine wave output, or triangular input will produce a square wave output. However the differentiator will not do this because it has some practical problems. The gain of the circuit (R F / X C1 ) increase with increase in frequency at the rate of 20 db/decade. This makes a circuit unstable. Also the input impedance X C1 decreases with increase in frequency, which make the circuit very susceptible to high frequency noise. When amplified, this noise can be completely overriding the differentiated output signal. Both the stability and high frequency noise problems can be corrected by the additional of two components; R 1 and C F, this circuit is a practical differentiator. Procedure: - - Connect the circuit as shown in the circuit diagram. - Use the signal generator to give 5V p-p, 1 KHz, triangular wave supply to the inverting terminal of the op-amp as shown in the circuit diagram. - Observe the output voltage waveform on the Oscilloscope. - Repeat above steps for the 5V p-p, 1 KHz, Sine wave & triangular wave supply. - Draw the Input & output wave form for each case. Conclusion: -

10 PRACTICAL NO: - 5 Date: / /2014 AIM : To verify the application of IC 555 as an Astable Multivibrator. Equipments: - Theory: timer IC (One) - Resistor 10 KΩ (One) - Variable Port 10 KΩ (One) - Capacitor 0.01µF (Two) - Oscilloscope (One) - DC Power supply 5 V (One) Astable Multivibrator, often called a free running Multivibrator, is rectangular wave generating circuit. Unlike the monostable multivibrator, this circuit dose not require an external trigger to change the state of the output, hence the name free running. However, the time during which the output is either high or low is determined by two resistors and a capacitor, which are externally connected to the 555 timer. Astable operation: - With reference to the internal block diagram, initially, when the output is high, capacitor C starts charging towards Vcc through R A & R B. However as soon as voltage across the capacitor equals 2/3 Vcc comparator 1 trigger the flip flop and the output switches low. Now capacitor C starts discharging through R B and transistor Q1. When the voltage across C equals 1/3 Vcc, comparator 2 s output triggers the flip flop and the output goes high. Then the cycle repeats. The output voltage and the capacitor voltage wave form are shown in figure bellow. As shown in the figure, the capacitor is periodically charged and discharged between 2/3 Vcc and 1/3 Vcc respectively. The time during which the capacitor charge from 1/3 Vcc to 2/3 Vcc is equal to the time the output is a high is given by, t c = 0.69(R A + R B ) C

11 Where R A and R B are in ohms and C is in farads. Similarly the time during which the capacitor discharges from 2/3 Vcc to 1/3 Vcc is equal to the time the output is the low and is given by, t d = 0.69 (R B ) C Where R B is in ohms and C is in farads. Thus the total period of the output wave form is T= t c + t d = 0.69 (R A + 2R B ) C This, in turn, gives the frequency of the oscillation as f o = = The equation above indicates that the frequency f O is independent of the supply voltage Vcc. Procedure: - - Connect the circuit as shown in the circuit diagram. - Give 5 V DC supply to V CC terminal. - Observe the output voltage waveform, at pin 3, on the Oscilloscope. - Calculate the theoretical value of t ON and t OFF & compare it with the values measured on Oscilloscope. t ON = 0.69 (R A + R B ) C 1 t OFF = 0.69 (R B ) C 1 - Observe the charging & discharging wave forms of the capacitor C. - Draw the wave forms. Observation Table: - R A = R B = C 1 = 1 T 1.45 (R A + 2R B ) C Sr. No. 1 Theoretical Value Practical Value t ON t OFF t ON t OFF Conclusion: -

12 Date: / /2014 PRACTICAL NO: - 6 AIM : To verify the operation of IC 7812 as a 12V voltage regulator. Equipments: - - IC 7812 (One) - IN 4007 diodes (Four) - Transformer: 230V/24V (One) - Capacitor : 200µF (One) - 1- Φ variac (One) - Multi-meter (One) Theory: - The 7800 series consists of three-terminal positive voltage regulator with seven voltage options. The 7812 is one of them. This IC is fixed voltage regulator and with adequate heat sinking can deliver output current in excess of 1 A. This IC also have internal thermal overload protection and internal short-circuit current limiting. Proper operation of this IC requires common ground between input and output. In addition, the difference between input and output voltage (V in V out ), called dropout voltage, must be typically 2.0 V. The typical performance parameters of 7812 are Line Regulation, Load Regulation, Temperature Stability, and Ripple Rejection. Procedure: - - Connect the circuit as shown in the circuit diagram. - Give input to the 7812 that is greater than 14 V and dropout voltage is 2.0V - Measure the output voltage corresponding to the given input. - Note down the reading in the observation table. Observation Table: - Sr. No. 1 2 The I/P AC voltage at the bridge Rectifier Output DC voltage at the 7812 (pin 3) Conclusion: 3

13 Date: / /2014 PRACTICAL NO: - 7 AIM : To verify the application of op-amp as a Schmitt Trigger. Equipments: - - Op-amp trainer Kit - Oscilloscope - Signal Generator - Power Supply - Connecting wires Theory: - Fig-1 shows an inverting comparator, Schmitt trigger or squaring circuit with positive feedback. This circuit converts an irregular-shaped waveform to a square wave or pulse. The input voltage V in triggers (changes the state of) the output V o every time if exceeds certain voltage levels called upper threshold voltage V ut and threshold voltage V lt, as shown in fig-2. ( Fig-1 ) ( Fig-2 ) ( Fig-3 )

14 In fig-1 these threshold voltages are obtained by using the voltage divider R 1 -R 2, where the voltage across R 1 is fed back to the (+) input. The voltage across R 1 is a variable reference threshold voltage that depends on the value and priority of the output voltage V o. When V o = +V sat, the voltage across on the R 1 is called upper threshold voltage, V ut. The input voltage V in must be slightly more positive than V ut in order to cause the output V o to switch from +V sat to -V sat. As long as V in < V ut, V o is at +V sat Using the voltage-divider rule. R1 V ut = (+V sat ) (1) R1 + R2 Now when V o = -V sat, the voltage across R 1 is referred to as lower threshold voltage, V lt. V in must slightly more negative than V lt in order to cause V o to switch from V sat to +V sat. For V in values greater than V lt. V o is at V sat. V lt is given by the following equation: R1 V lt = (-V sat ) (2) R 1 + R2 If the threshold voltages V lt and V lt are made larger than the input noise voltages, the positive feedback will eliminate the false output transitions. Also, the positive feedback, because of its regenerative action, will make V o switch faster between +V sat to V sat. In the fig-1 resistance R OM R1 R2 is used to minimize the offset problems. Fig-2 shows that the output of the Schmitt trigger is a square wave when the input is a sine wave. The comparator with positive feedback is said to exhibit hysteresis, a dead band condition. That is, when the input of the comparator exceeds V ut, its output switches from +V sat to V sat and reverts back to its original state, +V sat, when the input goes below V lt [see fig.-3]. The hysteresis voltage is, of course equal to the difference between V ut and V lt. Therefore, V hy = V ut V lt R1 = (+V sat - (-V sat )) (2) R 1 + R 2 Procedure: - - Connect the circuit as shown in the circuit diagram. - Use the signal generator to give 1V p-p, 1 KHz, sine wave supply to the inverting terminal of the op-amp as shown in the circuit diagram. - Observe the output voltage waveform on the Oscilloscope. - Draw the Input & output wave form. - Calculate the values of Vut and Vlt and draw the hysteresis loop. Calculations: - V ut = R 1 (+ V sat ) / (R 1 + R 2 ) (1) V lt = R 1 (- V sat ) / (R 1 + R 2 ) (2) Conclusion: -

15 PRACTICAL NO: - 8 Date: / /2014 AIM: To study and verify Half adder and Full adder using logic gates: Equipments: - Theory: Digital IC trainer kit Patch cords Since digital system deal with numbers and codes the need for performing mathematical operation is paramount. In this practical two arithmetic logic circuit are dealt with. Half Adder using logic gates A half adder is the fundamental block used for addition. This is a two input adder as shown in fig. and the truth table is: Half Adder logic circuit

16 Procedure :( Half Adder); 1. To develop a half adder using gates and verify its operation. 2. Locate the blocks containing the gates on the trainer patch panel and hook up the circuit as in fig. 3. Apply inputs through logic input switches and form the truth table. Full Adder using logic gates Addition of two multidigit binary numbers is performed serially, one column at a time from right to left. When two bits in a column are added, it is necessary that the carry from the addition in previous column is also added. The truth table for a complete addition may be formed as: Full Adder logic circuit CONCLUSION:

17 PRACTICAL NO: - 9 Date: / /2014 AIM: To study about the R-S Flip Flop, Clocked R-S Flip-Flop,J-K Flip Flop. Theory: Logic circuits can broadly be classified into two types, Combinational circuits and sequential circuits. Combinational circuits are characterized by the property that their outputs depend on their inputs at that particular instant only, whereas for sequential circuits, the output at any instance will depend upon all the previous conditions the circuit attains in sequence. Thus, it is necessary for the circuits to have elements that remember their previous conditions. This is achieved by what are known as flip-flops. Introduction:- FLIP-FLOPS:- The Flip-Flop is a bistable device, i.e., a circuit with only two stable states. A flip-flop circuit can remember or store in binary bit (1 or 0) because of its bistable nature. The flip-flop responds to inputs. If an input causes it to go to its '1' state, it will remain there and remember a 1 until some signal causes it to go to 0 state. Similarly, once placed in the 0 state, it will remain there until told to go to the '1' state. This simple characteristic, the ability of flip-flop to retain its state, makes it the basic memory elements in the digital systems. THE S-R FLIP-FLOP A flip-flop can be built using logic gates. Fig show the same using NAND and NOR gates. Note that the gates are connected to give feedback to each other in such way that its act as a 'latch' to store a '1' or a '0'. The output Q & Q remain at their states (0 and 1) by the input S & R. Table gives the truth table relating S, R, Q & Q. This flip-flop is called SR flip-flop (Set - Reset). The Clocked S-R Flip Flop The flip-flops are usually parts of a large digital sequential system. If both the inputs to the flipflop do not appear at the same instant of time, the output will be unstable till the input stabilizes. This is sufficient, however to cause errors, which are transmitted sequentially resulting in cumulative error. Hence, it is necessary that the state changes take place only during fixed intervals of time, determined by some carefully regulated pulse train or discrete inputs. These inputs are different from the S-R inputs. This additional input is called 'CLOCK' and the various elements in the system work in co-ordination with it. Fig is the clock S-R flip-flop. Output changes takes place only when the clock pulse (or the level) appears. The truth table is given in Table.

18 The J-K Flip Flops J-K flip-flop makes use of feedback to remove the unpredictability in one of the state of S - R flip-flop. This is shown in Fig and its truth table is given in Table.There is a probable physical difficulty with the J-K flip-flop constructed as in fig. The truth table is based on the combination logic, which assumes that the inputs are independent of outputs. However, because of feedback from Q & Q to the inputs, the inputs will change during a clock pulse, if the output changes the state. Consider the case, J = 1, K = 1, Q = 0. When clock is HIGH, Q becomes 1 after a time t, the propagation delay time through the two NAND gates. Now J = 1, K = 1, Q = 1and the clock is still active (HIGH). So the Q toggle to '0'. Thus, during a clock pulse, the output oscillates between 1 & 0. At the end of the clock pulse, the Q value is ambiguous. This problem is called the ' race around condition'. This race problem can be avoided if the clock pulse width is less than the propagation delay of the gates. However, in practice, clock pulse widths are typically greater than the propagation delays of gates (a few ns). Conclusion:

19 PRACTICAL NO: - 10 Date: / /2014 AIM: To study about various types of logic Gates. Equipments: - Theory: - Digital IC trainer kit - Patch cords Logic gates are the basic components in digital electronics. They are used to create digital circuits and even complex integrated circuits Logic Gates are circuits made up of transistors, diodes, and resistors. Logic gates process one or more input signals in a logical fashion. Depending on the input value or voltage, the logic gate will either output a value of '1' for ON or a value of '0' for OFF. Types of GATES AND GATE OR GATE NOT GATE NAND GATE NOR GATE EX-OR GATE EX-NOR GATE AND GATE As its name implies, an AND logic gate performs an AND logic operation, which is a multiplication. It has at least two inputs. So, if A and B are its inputs, at the output we will find A x B (also represented as A B). So, AND logic gate can be summarized by the formula Y = A x B (or Y = A B). NAND gate The N letter on NAND stands for NOT, meaning that NAND logic gate is an AND gate with an inverter attached. So, its output is the opposite from AND. Its symbol is the same of AND but with a o (bubble) on its output, meaning that the output is inverted. You can build a NAND gate by connecting an AND is an inverter. ORGATE As its name implies, an OR logic gate performs an OR logic operation, which is an addition. It has at least two inputs. So, if A and B are its inputs, at the output we will find A + B. So, OR logic gate can be summarized by the formula Y = A + B. You can see its symbol on Figure and its truth table right below it.

20 NOR gate (NOR = Not + OR) The N letter on NOR stands for NOT, meaning that NOR logic gate is an OR gate with an inverter attached. So, its output is the opposite from OR. Its symbol is the same of OR but with a o on its output, meaning that the output is inverted. You can build yourself a NOR gate by connecting an OR gate to an inverter. NOT gate As the name implies, inverter will invert the number entered. If you enter 0, you will get a 1 on its output, and if you enter a 1, you will get a 0 on its output. The inverter symbol you can see on Figure. Inverter gate is also known as NOT and its output is Y = A X-OR XOR stands for exclusive OR. XOR gate compares two values and if they are different its output will be 1. XOR operation is represented by the symbol +. So = A +B. You can see XOR logic gate symbol in figure and its truth table right below it. X-NOR XNOR stands for exclusive NOR and is an XOR gate with its output inverted. So, its output is at 1 when the inputs have the same value and 0 when they are different. XNOR operation is represented by the symbol. So Y = A ( ) B. You can see XNOR logic gate symbol on Figure 20 and its truth table right below it. Conclusion:

HIGH LOW Astable multivibrators HIGH LOW 1:1

HIGH LOW Astable multivibrators HIGH LOW 1:1 1. Multivibrators A multivibrator circuit oscillates between a HIGH state and a LOW state producing a continuous output. Astable multivibrators generally have an even 50% duty cycle, that is that 50% of

More information

Multivibrators. Department of Electrical & Electronics Engineering, Amrita School of Engineering

Multivibrators. Department of Electrical & Electronics Engineering, Amrita School of Engineering Multivibrators Multivibrators Multivibrator is an electronic circuit that generates square, rectangular, pulse waveforms. Also called as nonlinear oscillators or function generators. Multivibrator is basically

More information

ASTABLE MULTIVIBRATOR

ASTABLE MULTIVIBRATOR 555 TIMER ASTABLE MULTIIBRATOR MONOSTABLE MULTIIBRATOR 555 TIMER PHYSICS (LAB MANUAL) PHYSICS (LAB MANUAL) 555 TIMER Introduction The 555 timer is an integrated circuit (chip) implementing a variety of

More information

Analog Electronic Circuits Lab-manual

Analog Electronic Circuits Lab-manual 2014 Analog Electronic Circuits Lab-manual Prof. Dr Tahir Izhar University of Engineering & Technology LAHORE 1/09/2014 Contents Experiment-1:...4 Learning to use the multimeter for checking and indentifying

More information

Summer 2015 Examination

Summer 2015 Examination Summer 2015 Examination Subject Code: 17445 Model Answer Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme.

More information

555 Timer and Its Application

555 Timer and Its Application ANALOG ELECTRONICS (AE) 555 Timer and Its Application 1 Prepared by: BE-EE Amish J. Tankariya SEMESTER-III SUBJECT- ANALOG ELECTRONICS (AE) GTU Subject Code :- 210902 2 OBJECTIVES 555 timer; What is the

More information

INTEGRATED CIRCUITS AND APPLICATIONS LAB MANUAL

INTEGRATED CIRCUITS AND APPLICATIONS LAB MANUAL INTEGRATED CIRCUITS AND APPLICATIONS LAB MANUAL V SEMESTER Department of Electronics and communication Engineering Government Engineering College, Dahod-389151 http://www.gecdahod.ac.in/ L A B M A N U

More information

CHAPTER 6 DIGITAL INSTRUMENTS

CHAPTER 6 DIGITAL INSTRUMENTS CHAPTER 6 DIGITAL INSTRUMENTS 1 LECTURE CONTENTS 6.1 Logic Gates 6.2 Digital Instruments 6.3 Analog to Digital Converter 6.4 Electronic Counter 6.6 Digital Multimeters 2 6.1 Logic Gates 3 AND Gate The

More information

Lab 2 Revisited Exercise

Lab 2 Revisited Exercise Lab 2 Revisited Exercise +15V 100k 1K 2N2222 Wire up led display Note the ground leads LED orientation 6.091 IAP 2008 Lecture 3 1 Comparator, Oscillator +5 +15 1k 2 V- 7 6 Vin 3 V+ 4 V o Notice that power

More information

R & D Electronics DIGITAL IC TRAINER. Model : DE-150. Feature: Object: Specification:

R & D Electronics DIGITAL IC TRAINER. Model : DE-150. Feature: Object: Specification: DIGITAL IC TRAINER Model : DE-150 Object: To Study the Operation of Digital Logic ICs TTL and CMOS. To Study the All Gates, Flip-Flops, Counters etc. To Study the both the basic and advance digital electronics

More information

Digital Logic Circuits

Digital Logic Circuits Digital Logic Circuits Let s look at the essential features of digital logic circuits, which are at the heart of digital computers. Learning Objectives Understand the concepts of analog and digital signals

More information

Government Polytechnic Muzaffarpur Name of the Lab: Applied Electronics Lab

Government Polytechnic Muzaffarpur Name of the Lab: Applied Electronics Lab Government Polytechnic Muzaffarpur Name of the Lab: Applied Electronics Lab Subject Code: 1620408 Experiment-1 Aim: To obtain the characteristics of field effect transistor (FET). Theory: The Field Effect

More information

Introduction to IC-555. Compiled By: Chanakya Bhatt EE, IT-NU

Introduction to IC-555. Compiled By: Chanakya Bhatt EE, IT-NU Introduction to IC-555 Compiled By: Chanakya Bhatt EE, IT-NU Introduction SE/NE 555 is a Timer IC introduced by Signetics Corporation in 1970 s. It is basically a monolithic timing circuit that produces

More information

GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION MARCH-2013 SCHEME OF VALUATION

GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION MARCH-2013 SCHEME OF VALUATION GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION MARCH-03 SCHEME OF VALUATION Subject Code: 0 Subject: PART - A 0. What does the arrow mark indicate

More information

Basic Operational Amplifier Circuits

Basic Operational Amplifier Circuits Basic Operational Amplifier Circuits Comparators A comparator is a specialized nonlinear op-amp circuit that compares two input voltages and produces an output state that indicates which one is greater.

More information

ELECTRONICS ADVANCED SUPPLEMENTARY LEVEL

ELECTRONICS ADVANCED SUPPLEMENTARY LEVEL ELECTRONICS ADVANCED SUPPLEMENTARY LEVEL AIMS The general aims of the subject are : 1. to foster an interest in and an enjoyment of electronics as a practical and intellectual discipline; 2. to develop

More information

Transistor Design & Analysis (Inverter)

Transistor Design & Analysis (Inverter) Experiment No. 1: DIGITAL ELECTRONIC CIRCUIT Transistor Design & Analysis (Inverter) APPARATUS: Transistor Resistors Connecting Wires Bread Board Dc Power Supply THEORY: Digital electronics circuits operate

More information

Chapter 16: Oscillators

Chapter 16: Oscillators Chapter 16: Oscillators 16.1: The Oscillator Oscillators are widely used in most communications systems as well as in digital systems, including computers, to generate required frequencies and timing signals.

More information

DEPARTMENT OF ELECTRICAL ENGINEERING LAB WORK EE301 ELECTRONIC CIRCUITS

DEPARTMENT OF ELECTRICAL ENGINEERING LAB WORK EE301 ELECTRONIC CIRCUITS DEPARTMENT OF ELECTRICAL ENGINEERING LAB WORK EE301 ELECTRONIC CIRCUITS EXPERIMENT : 4 TITLE : 555 TIMERS OUTCOME : Upon completion of this unit, the student should be able to: 1. gain experience with

More information

Fan in: The number of inputs of a logic gate can handle.

Fan in: The number of inputs of a logic gate can handle. Subject Code: 17333 Model Answer Page 1/ 29 Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model

More information

Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET REV. NO. : REV.

Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET REV. NO. : REV. Dev Bhoomi Institute Of Technology Department of Electronics and Communication Engineering PRACTICAL INSTRUCTION SHEET LABORATORY MANUAL EXPERIMENT NO. ISSUE NO. : ISSUE DATE: July 200 REV. NO. : REV.

More information

To design/build monostable multivibrators using 555 IC and verify their operation using measurements by observing waveforms.

To design/build monostable multivibrators using 555 IC and verify their operation using measurements by observing waveforms. AIM: SUBJECT: ANALOG ELECTRONICS (2130902) EXPERIMENT NO. 09 DATE : TITLE: TO DESIGN/BUILD MONOSTABLE MULTIVIBRATORS USING 555 IC AND VERIFY THEIR OPERATION USING MEASUREMENTS BY OBSERVING WAVEFORMS. DOC.

More information

OBJECTIVE TYPE QUESTIONS

OBJECTIVE TYPE QUESTIONS OBJECTIVE TYPE QUESTIONS Q.1 The breakdown mechanism in a lightly doped p-n junction under reverse biased condition is called (A) avalanche breakdown. (B) zener breakdown. (C) breakdown by tunnelling.

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

DEPARTMENT OF ELECTRICAL & ELECTRONICS ENGINEERING

DEPARTMENT OF ELECTRICAL & ELECTRONICS ENGINEERING DEPARTMENT OF ELECTRICAL & ELECTRONICS ENGINEERING (Regulation 2013) EE 6311 LINEAR AND DIGITAL INTEGRATED CIRCUITS LAB MANUAL 1 SYLLABUS OBJECTIVES: Working Practice in simulators / CAD Tools / Experiment

More information

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

COMPARATOR CHARACTERISTICS The important characteristics of a comparator are these: 1. Speed of operation 2. Accuracy 3. Compatibility of output

COMPARATOR CHARACTERISTICS The important characteristics of a comparator are these: 1. Speed of operation 2. Accuracy 3. Compatibility of output SCHMITT TRIGGER (regenerative comparator) Schmitt trigger is an inverting comparator with positive feedback. It converts an irregular-shaped waveform to a square wave or pulse, also called as squaring

More information

LIC & COMMUNICATION LAB MANUAL

LIC & COMMUNICATION LAB MANUAL LIC & Communication Lab Manual LIC & COMMUNICATION LAB MANUAL FOR V SEMESTER B.E (E& ( E&C) (For private circulation only) NAME: DEPARTMENT OF ELECTRONICS & COMMUNICATION SRI SIDDHARTHA INSTITUTE OF TECHNOLOGY

More information

CHAPTER 4: 555 TIMER. Dr. Wan Mahani Hafizah binti Wan Mahmud

CHAPTER 4: 555 TIMER. Dr. Wan Mahani Hafizah binti Wan Mahmud CHAPTE 4: 555 TIME Dr. Wan Mahani Hafizah binti Wan Mahmud 555 TIME Introduction Pin configuration Basic architecture and operation Astable Operation Monostable Operation Timer in Triggering Circuits 555

More information

An active filter offers the following advantages over a passive filter:

An active filter offers the following advantages over a passive filter: ACTIVE FILTERS An electric filter is often a frequency-selective circuit that passes a specified band of frequencies and blocks or attenuates signals of frequencies outside this band. Filters may be classified

More information

GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION JULY-2012 SCHEME OF VALUATION

GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION JULY-2012 SCHEME OF VALUATION GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION JULY-0 SCHEME OF VALUATION Subject Code: 40 Subject: PART - A 0. Which region of the transistor

More information

Electronic Instrumentation

Electronic Instrumentation 5V 1 1 1 2 9 10 7 CL CLK LD TE PE CO 15 + 6 5 4 3 P4 P3 P2 P1 Q4 Q3 Q2 Q1 11 12 13 14 2-14161 Electronic Instrumentation Experiment 7 Digital Logic Devices and the 555 Timer Part A: Basic Logic Gates Part

More information

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design

COMBINATIONAL and SEQUENTIAL LOGIC CIRCUITS Hardware implementation and software design PH-315 COMINATIONAL and SEUENTIAL LOGIC CIRCUITS Hardware implementation and software design A La Rosa I PURPOSE: To familiarize with combinational and sequential logic circuits Combinational circuits

More information

Process Components. Process component

Process Components. Process component What are PROCESS COMPONENTS? Input Transducer Process component Output Transducer The input transducer circuits are connected to PROCESS COMPONENTS. These components control the action of the OUTPUT components

More information

1. LINEAR WAVE SHAPING

1. LINEAR WAVE SHAPING Aim: 1. LINEAR WAVE SHAPING i) To design a low pass RC circuit for the given cutoff frequency and obtain its frequency response. ii) To observe the response of the designed low pass RC circuit for the

More information

Exam Booklet. Pulse Circuits

Exam Booklet. Pulse Circuits Exam Booklet Pulse Circuits Pulse Circuits STUDY ASSIGNMENT This booklet contains two examinations for the six lessons entitled Pulse Circuits. The material is intended to provide the last training sought

More information

MODULE TITLE : OPERATIONAL AMPLIFIERS TOPIC TITLE : OSCILLATORS LESSON 2 : RELAXATION OSCILLATORS

MODULE TITLE : OPERATIONAL AMPLIFIERS TOPIC TITLE : OSCILLATORS LESSON 2 : RELAXATION OSCILLATORS MODULE ILE : OPEAIONAL AMPLIFIES OPIC ILE : OSCILLAOS LESSON : ELAXAION OSCILLAOS OA - - eesside University INODUCION he '555' timer is a very popular and 'user friendly' I.C. used to produce 'single shot'

More information

LINEAR IC APPLICATIONS

LINEAR IC APPLICATIONS 1 B.Tech III Year I Semester (R09) Regular & Supplementary Examinations December/January 2013/14 1 (a) Why is R e in an emitter-coupled differential amplifier replaced by a constant current source? (b)

More information

About the Tutorial. Audience. Prerequisites. Copyright & Disclaimer. Linear Integrated Circuits Applications

About the Tutorial. Audience. Prerequisites. Copyright & Disclaimer. Linear Integrated Circuits Applications About the Tutorial Linear Integrated Circuits are solid state analog devices that can operate over a continuous range of input signals. Theoretically, they are characterized by an infinite number of operating

More information

Scheme I Sample Question Paper

Scheme I Sample Question Paper Sample Question Paper Marks : 70 Time: 3 Hrs. Q.1) Attempt any FIVE of the following. 10 Marks a) Classify configuration of differential amplifier. b) Draw equivalent circuit of an OPAMP c) Suggest and

More information

GATE: Electronics MCQs (Practice Test 1 of 13)

GATE: Electronics MCQs (Practice Test 1 of 13) GATE: Electronics MCQs (Practice Test 1 of 13) 1. Removing bypass capacitor across the emitter leg resistor in a CE amplifier causes a. increase in current gain b. decrease in current gain c. increase

More information

CMOS Schmitt Trigger A Uniquely Versatile Design Component

CMOS Schmitt Trigger A Uniquely Versatile Design Component CMOS Schmitt Trigger A Uniquely Versatile Design Component INTRODUCTION The Schmitt trigger has found many applications in numerous circuits, both analog and digital. The versatility of a TTL Schmitt is

More information

2 Logic Gates THE INVERTER. A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs.

2 Logic Gates THE INVERTER. A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs. 2 Logic Gates A logic gate is an electronic circuit which makes logic decisions. It has one output and one or more inputs. THE INVERTER The inverter (NOT circuit) performs the operation called inversion

More information

For input: Peak to peak amplitude of the input = volts. Time period for 1 full cycle = sec

For input: Peak to peak amplitude of the input = volts. Time period for 1 full cycle = sec Inverting amplifier: [Closed Loop Configuration] Design: A CL = V o /V in = - R f / R in ; Assume R in = ; Gain = ; Circuit Diagram: RF +10V F.G ~ + Rin 2 3 7 IC741 + 4 6 v0-10v CRO Model Graph Inverting

More information

LINEAR INTEGRATED CIRCUITS APPLICATIONS LABORATORY OBSERVATION

LINEAR INTEGRATED CIRCUITS APPLICATIONS LABORATORY OBSERVATION LENDI INSTITUTE OF ENGINEERING AND TECHNOLOGY (Approved by A.I.C.T.E & Affiliated to JNTU, Kakinada) Jonnada (Village), Denkada (Mandal), Vizianagaram Dist 535005 Phone No. 08922-241111, 241112 E-Mail:

More information

MODEL ANSWER SUMMER 17 EXAMINATION Subject Title: Linear Integrated Circuit Subject Code:

MODEL ANSWER SUMMER 17 EXAMINATION Subject Title: Linear Integrated Circuit Subject Code: MODEL ANSWER SUMMER 17 EXAMINATION Subject Title: Linear Integrated Circuit Subject Code: Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as

More information

Difference between BJTs and FETs. Junction Field Effect Transistors (JFET)

Difference between BJTs and FETs. Junction Field Effect Transistors (JFET) Difference between BJTs and FETs Transistors can be categorized according to their structure, and two of the more commonly known transistor structures, are the BJT and FET. The comparison between BJTs

More information

EG572EX: ELECTRONIC CIRCUITS I 555 TIMERS

EG572EX: ELECTRONIC CIRCUITS I 555 TIMERS EG572EX: ELECTRONIC CIRCUITS I 555 TIMERS Prepared By: Ajay Kumar Kadel, Kathmandu Engineering College 1) PIN DESCRIPTIONS Fig.1 555 timer Pin Configurations Pin 1 (Ground):- All voltages are measured

More information

ENGR-4300 Fall 2006 Project 3 Project 3 Build a 555-Timer

ENGR-4300 Fall 2006 Project 3 Project 3 Build a 555-Timer ENGR-43 Fall 26 Project 3 Project 3 Build a 555-Timer For this project, each team, (do this as team of 4,) will simulate and build an astable multivibrator. However, instead of using the 555 timer chip,

More information

ANALOG TO DIGITAL CONVERTER

ANALOG TO DIGITAL CONVERTER Final Project ANALOG TO DIGITAL CONVERTER As preparation for the laboratory, examine the final circuit diagram at the end of these notes and write a brief plan for the project, including a list of the

More information

CONTENTS Sl. No. Experiment Page No

CONTENTS Sl. No. Experiment Page No CONTENTS Sl. No. Experiment Page No 1a Given a 4-variable logic expression, simplify it using Entered Variable Map and realize the simplified logic expression using 8:1 multiplexer IC. 2a 3a 4a 5a 6a 1b

More information

Power Line Carrier Communication

Power Line Carrier Communication IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 9, Issue 2, Ver. II (Mar - Apr. 2014), PP 50-55 Power Line Carrier Communication Dorathe.

More information

11. What is fall time (tf) in transistor? The time required for the collector current to fall from 90% to 10% of its DEPARTMENT OF ECE EC 6401 Electronic Circuits II UNIT-IV WAVE SHAPING AND MULTIVIBRATOR

More information

EE283 Electrical Measurement Laboratory Laboratory Exercise #7: Digital Counter

EE283 Electrical Measurement Laboratory Laboratory Exercise #7: Digital Counter EE283 Electrical Measurement Laboratory Laboratory Exercise #7: al Counter Objectives: 1. To familiarize students with sequential digital circuits. 2. To show how digital devices can be used for measurement

More information

Comparators, positive feedback, and relaxation oscillators

Comparators, positive feedback, and relaxation oscillators Experiment 4 Introductory Electronics Laboratory Comparators, positive feedback, and relaxation oscillators THE SCHMITT TIGGE AND POSITIVE FEEDBACK 4-2 The op-amp as a comparator... 4-2 Using positive

More information

hij Teacher Resource Bank GCE Electronics Exemplar Examination Questions ELEC2 Further Electronics

hij Teacher Resource Bank GCE Electronics Exemplar Examination Questions ELEC2 Further Electronics hij Teacher Resource Bank GCE Electronics Exemplar Examination Questions ELEC2 Further Electronics The Assessment and Qualifications Alliance (AQA) is a company limited by guarantee registered in England

More information

Operational Amplifiers

Operational Amplifiers Operational Amplifiers Table of contents 1. Design 1.1. The Differential Amplifier 1.2. Level Shifter 1.3. Power Amplifier 2. Characteristics 3. The Opamp without NFB 4. Linear Amplifiers 4.1. The Non-Inverting

More information

LABORATORY EXPERIMENT. Infrared Transmitter/Receiver

LABORATORY EXPERIMENT. Infrared Transmitter/Receiver LABORATORY EXPERIMENT Infrared Transmitter/Receiver (Note to Teaching Assistant: The week before this experiment is performed, place students into groups of two and assign each group a specific frequency

More information

Comparators, positive feedback, and relaxation oscillators

Comparators, positive feedback, and relaxation oscillators Experiment 4 Introductory Electronics Laboratory Comparators, positive feedback, and relaxation oscillators THE SCHMITT TRIGGER AND POSITIVE FEEDBACK 4-2 The op-amp as a comparator... 4-2 Using positive

More information

CMOS Digital Integrated Circuits Analysis and Design

CMOS Digital Integrated Circuits Analysis and Design CMOS Digital Integrated Circuits Analysis and Design Chapter 8 Sequential MOS Logic Circuits 1 Introduction Combinational logic circuit Lack the capability of storing any previous events Non-regenerative

More information

LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1

LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM. 2012/ODD/III/ECE/DE/LM Page No. 1 LOGIC DIAGRAM: HALF ADDER TRUTH TABLE: A B CARRY SUM K-Map for SUM: K-Map for CARRY: SUM = A B + AB CARRY = AB 22/ODD/III/ECE/DE/LM Page No. EXPT NO: DATE : DESIGN OF ADDER AND SUBTRACTOR AIM: To design

More information

St.MARTIN S ENGINEERING COLLEGE

St.MARTIN S ENGINEERING COLLEGE St.MARTIN S ENGINEERING COLLEGE Dhulapally, Kompally, Secunderabad-500014. Branch Year&Sem Subject Name : Electrical and Electronics Engineering : III B. Tech I Semester : IC Applications OBJECTIVES QUESTION

More information

SKEE 2742 BASIC ELECTRONICS LAB

SKEE 2742 BASIC ELECTRONICS LAB Faculty: Subject Subject Code : SKEE 2742 FACULTY OF ELECTRICAL ENGINEERING : 2 ND YEAR ELECTRONIC DESIGN LABORATORY Review Release Date Last Amendment Procedure Number : 1 : 2013 : 2013 : PK-UTM-FKE-(0)-10

More information

Preface... iii. Chapter 1: Diodes and Circuits... 1

Preface... iii. Chapter 1: Diodes and Circuits... 1 Table of Contents Preface... iii Chapter 1: Diodes and Circuits... 1 1.1 Introduction... 1 1.2 Structure of an Atom... 2 1.3 Classification of Solid Materials on the Basis of Conductivity... 2 1.4 Atomic

More information

SUMMER 13 EXAMINATION Subject Code: Model Answer Page No: / N

SUMMER 13 EXAMINATION Subject Code: Model Answer Page No: / N Important Instructions to examiners: 1) The answers should be examined by key words and not as word-to-word as given in the model answer scheme. 2) The model answer and the answer written by candidate

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad 1 P a g e INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500 043 ELECTRONICS AND COMMUNICATION ENGINEERING TUTORIAL QUESTION BANK Name : INTEGRATED CIRCUITS APPLICATIONS Code

More information

COURSE DESCRIPTION (ELECTRICAL ENGINEERING LAB III (ECEg 2114)) COURSE OBJECTIVE: ASSESSMENT SCHEME AND TEACHING STRATEGY

COURSE DESCRIPTION (ELECTRICAL ENGINEERING LAB III (ECEg 2114)) COURSE OBJECTIVE: ASSESSMENT SCHEME AND TEACHING STRATEGY COURSE DESCRIPTION (ELECTRICAL ENGINEERING LAB III (ECEg 2114)) This course introduces the student to the Amplifier; Differential amplifier; Operational amplifier; Oscillators; Basic digital circuits Schmitt

More information

Number of Lessons:155 #14B (P) Electronics Technology with Digital and Microprocessor Laboratory Completion Time: 42 months

Number of Lessons:155 #14B (P) Electronics Technology with Digital and Microprocessor Laboratory Completion Time: 42 months PROGRESS RECORD Study your lessons in the order listed below. Number of Lessons:155 #14B (P) Electronics Technology with Digital and Microprocessor Laboratory Completion Time: 42 months 1 2330A Current

More information

EE 368 Electronics Lab. Experiment 10 Operational Amplifier Applications (2)

EE 368 Electronics Lab. Experiment 10 Operational Amplifier Applications (2) EE 368 Electronics Lab Experiment 10 Operational Amplifier Applications (2) 1 Experiment 10 Operational Amplifier Applications (2) Objectives To gain experience with Operational Amplifier (Op-Amp). To

More information

Linear & Digital IC Applications (BRIDGE COURSE)

Linear & Digital IC Applications (BRIDGE COURSE) G. PULLAIAH COLLEGE OF ENGINEERING AND TECHNOLOGY Accredited by NAAC with A Grade of UGC, Approved by AICTE, New Delhi Permanently Affiliated to JNTUA, Ananthapuramu (Recognized by UGC under 2(f) and 12(B)

More information

Let us consider the following block diagram of a feedback amplifier with input voltage feedback fraction,, be positive i.e. in phase.

Let us consider the following block diagram of a feedback amplifier with input voltage feedback fraction,, be positive i.e. in phase. P a g e 2 Contents 1) Oscillators 3 Sinusoidal Oscillators Phase Shift Oscillators 4 Wien Bridge Oscillators 4 Square Wave Generator 5 Triangular Wave Generator Using Square Wave Generator 6 Using Comparator

More information

UNIT-I CIRCUIT CONFIGURATION FOR LINEAR

UNIT-I CIRCUIT CONFIGURATION FOR LINEAR UNIT-I CIRCUIT CONFIGURATION FOR LINEAR ICs 2 marks questions 1.Mention the advantages of integrated circuits. *Miniaturisation and hence increased equipment density. *Cost reduction due to batch processing.

More information

Unit WorkBook 1 Level 4 ENG U22 Electronic Circuits and Devices 2018 UniCourse Ltd. All Rights Reserved. Sample

Unit WorkBook 1 Level 4 ENG U22 Electronic Circuits and Devices 2018 UniCourse Ltd. All Rights Reserved. Sample Pearson BTEC Level 4 Higher Nationals in Engineering (RQF) Unit 22: Electronic Circuits and Devices Unit Workbook 1 in a series of 4 for this unit Learning Outcome 1 Operational Amplifiers Page 1 of 23

More information

Concepts to be Reviewed

Concepts to be Reviewed Introductory Medical Device Prototyping Analog Circuits Part 3 Operational Amplifiers, http://saliterman.umn.edu/ Department of Biomedical Engineering, University of Minnesota Concepts to be Reviewed Operational

More information

ENGR-2300 Electronic Instrumentation Quiz 3 Spring Name: Solution Please write you name on each page. Section: 1 or 2

ENGR-2300 Electronic Instrumentation Quiz 3 Spring Name: Solution Please write you name on each page. Section: 1 or 2 ENGR-2300 Electronic Instrumentation Quiz 3 Spring 2018 Name: Solution Please write you name on each page Section: 1 or 2 4 Questions Sets, 20 Points Each LMS Portion, 20 Points Question Set 1) Question

More information

GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION MARCH-2012 SCHEME OF VALUATION

GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION MARCH-2012 SCHEME OF VALUATION GOVERNMENT OF KARNATAKA KARNATAKA STATE PRE-UNIVERSITY EDUCATION EXAMINATION BOARD II YEAR PUC EXAMINATION MARCH-0 SCHEME OF VALUATION Subject Code: 0 Subject: Qn. PART - A 0. Which is the largest of three

More information

DHANALAKSHMI COLLEGE OF ENGINEERING MANIMANGALAM. TAMBARAM, CHENNAI B.E. ELECTRICAL AND ELECTRONICS ENGINEERING III SEMESTER EE6311 Linear and Digital Integrated Circuits Laboratory LABORATORY MANUAL CLASS:

More information

Comparators, positive feedback, and relaxation oscillators

Comparators, positive feedback, and relaxation oscillators Experiment 4 Introductory Electronics Laboratory Comparators, positive feedback, and relaxation oscillators THE SCHMITT TIGGE AND POSITIVE FEEDBACK 4-2 The op-amp as a comparator... 4-2 Using positive

More information

Project 3 Build a 555-Timer

Project 3 Build a 555-Timer Project 3 Build a 555-Timer For this project, each group will simulate and build an astable multivibrator. However, instead of using the 555 timer chip, you will have to use the devices you learned about

More information

1 Signals and systems, A. V. Oppenhaim, A. S. Willsky, Prentice Hall, 2 nd edition, FUNDAMENTALS. Electrical Engineering. 2.

1 Signals and systems, A. V. Oppenhaim, A. S. Willsky, Prentice Hall, 2 nd edition, FUNDAMENTALS. Electrical Engineering. 2. 1 Signals and systems, A. V. Oppenhaim, A. S. Willsky, Prentice Hall, 2 nd edition, 1996. FUNDAMENTALS Electrical Engineering 2.Processing - Analog data An analog signal is a signal that varies continuously.

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

State Machine Oscillators

State Machine Oscillators by Kenneth A. Kuhn March 22, 2009, rev. March 31, 2013 Introduction State machine oscillators are based on periodic charging and discharging a capacitor to specific voltages using one or more voltage comparators

More information

Operating Manual Ver.1.1

Operating Manual Ver.1.1 Multivibrators (Astable and Monostable) Operating Manual Ver.1.1 An ISO 9001 : 2000 company 94-101, Electronic Complex Pardesipura, Indore- 452010, India Tel : 91-731- 2570301/02, 4211100 Fax: 91-731-

More information

Speed Control of DC Motor Using Phase-Locked Loop

Speed Control of DC Motor Using Phase-Locked Loop Speed Control of DC Motor Using Phase-Locked Loop Authors Shaunak Vyas Darshit Shah Affiliations B.Tech. Electrical, Nirma University, Ahmedabad E-mail shaunak_vyas1@yahoo.co.in darshit_shah1@yahoo.co.in

More information

PROPOSED SCHEME OF COURSE WORK

PROPOSED SCHEME OF COURSE WORK PROPOSED SCHEME OF COURSE WORK Course Details: Course Title : LINEAR AND DIGITAL IC APPLICATIONS Course Code : 13EC1146 L T P C : 4 0 0 3 Program: : B.Tech. Specialization: : Electrical and Electronics

More information

Digital Electronics Course Objectives

Digital Electronics Course Objectives Digital Electronics Course Objectives In this course, we learning is reported using Standards Referenced Reporting (SRR). SRR seeks to provide students with grades that are consistent, are accurate, and

More information

R (a) Explain characteristics and limitations of op-amp comparators. (b) Explain operation of free running Multivibrator using op-amp.

R (a) Explain characteristics and limitations of op-amp comparators. (b) Explain operation of free running Multivibrator using op-amp. Set No: 1 1. (a) Draw the equivalent circuits of emitter coupled differential amplifier from which calculate Ad. (b) Draw the block diagram of four stage cascaded amplifier. Explain the function of each

More information

Q.P. Code : [ TURN OVER]

Q.P. Code : [ TURN OVER] Q.P. Code : 587801 8ADF85B2CAF8DDC703193679392A86308ADF85B2CAF8DDC703193679392A86308ADF85B2CAF8DDC703193679392A86308ADF85B2CAF8DDC703193679392A86308ADF85B2CAF8DDC70 6308ADF85B2CAF8DDC703193679392A86308ADF85B2CAF8DDC703193679392A86308ADF85B2CAF8DDC703193679392A86308ADF85B2CAF8DDC703193679392A86308ADF85B2CAF8DDC703

More information

PESIT BANGALORE SOUTH CAMPUS BASIC ELECTRONICS

PESIT BANGALORE SOUTH CAMPUS BASIC ELECTRONICS PESIT BANGALORE SOUTH CAMPUS QUESTION BANK BASIC ELECTRONICS Sub Code: 17ELN15 / 17ELN25 IA Marks: 20 Hrs/ Week: 04 Exam Marks: 80 Total Hours: 50 Exam Hours: 03 Name of Faculty: Mr. Udoshi Basavaraj Module

More information

CHARACTERIZATION OF OP-AMP

CHARACTERIZATION OF OP-AMP EXPERIMENT 4 CHARACTERIZATION OF OP-AMP OBJECTIVES 1. To sketch and briefly explain an operational amplifier circuit symbol and identify all terminals. 2. To list the amplifier stages in a typical op-amp

More information

INTEGRATED CIRCULTS AND APPLICATIONS

INTEGRATED CIRCULTS AND APPLICATIONS INTEGRATED CIRCULTS AND APPLICATIONS LAB MANUAL Subject Code : AEC106 Regulations : R16 Autonomous Class : V Semester (EEE) Prepared by Mrs. C DEEPTHI Assistant Professor Mr. MOHD.KHADIR Assistant Professor

More information

Spec. Instructor: Center

Spec. Instructor: Center PDHonline Course E379 (5 PDH) Digital Logic Circuits Volume III Spec ial Logic Circuits Instructor: Lee Layton, P.E 2012 PDH Online PDH Center 5272 Meadow Estatess Drive Fairfax, VA 22030-6658 Phone &

More information

The steeper the phase shift as a function of frequency φ(ω) the more stable the frequency of oscillation

The steeper the phase shift as a function of frequency φ(ω) the more stable the frequency of oscillation It should be noted that the frequency of oscillation ω o is determined by the phase characteristics of the feedback loop. the loop oscillates at the frequency for which the phase is zero The steeper the

More information

ML4818 Phase Modulation/Soft Switching Controller

ML4818 Phase Modulation/Soft Switching Controller Phase Modulation/Soft Switching Controller www.fairchildsemi.com Features Full bridge phase modulation zero voltage switching circuit with programmable ZV transition times Constant frequency operation

More information

Electronic PRINCIPLES

Electronic PRINCIPLES MALVINO & BATES Electronic PRINCIPLES SEVENTH EDITION Chapter 22 Nonlinear Op-Amp Circuits Topics Covered in Chapter 22 Comparators with zero reference Comparators with non-zero references Comparators

More information

FIRSTRANKER. 1. (a) What are the advantages of the adjustable voltage regulators over the fixed

FIRSTRANKER. 1. (a) What are the advantages of the adjustable voltage regulators over the fixed Code No: 07A51102 R07 Set No. 2 1. (a) What are the advantages of the adjustable voltage regulators over the fixed voltage regulators. (b) Differentiate betweenan integrator and a differentiator. [8+8]

More information

ELG3331: Digital Tachometer Introduction to Mechatronics by DG Alciatore and M B Histand

ELG3331: Digital Tachometer Introduction to Mechatronics by DG Alciatore and M B Histand ELG333: Digital Tachometer Introduction to Mechatronics by DG Alciatore and M B Histand Our objective is to design a system to measure and the rotational speed of a shaft. A simple method to measure rotational

More information

LIST OF EXPERIMENTS. KCTCET/ /Odd/3rd/ETE/CSE/LM

LIST OF EXPERIMENTS. KCTCET/ /Odd/3rd/ETE/CSE/LM LIST OF EXPERIMENTS. Study of logic gates. 2. Design and implementation of adders and subtractors using logic gates. 3. Design and implementation of code converters using logic gates. 4. Design and implementation

More information

PHYS225 Lecture 18. Electronic Circuits

PHYS225 Lecture 18. Electronic Circuits PHYS225 Lecture 18 Electronic Circuits Oscillators and Timers Oscillators & Timers Produce timing signals to initiate measurement Periodic or single pulse Periodic output at known (controlled) frequency

More information

Experiment EB2: IC Multivibrator Circuits

Experiment EB2: IC Multivibrator Circuits EEE1026 Electronics II: Experiment Instruction Learning Outcomes Experiment EB2: IC Multivibrator Circuits LO1: Explain the principles and operation of amplifiers and switching circuits LO2: Analyze high

More information