In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a

Size: px
Start display at page:

Download "In the previous chapters, efficient and new methods and. algorithms have been presented in analog fault diagnosis. Also a"

Transcription

1 118 CHAPTER 6 Mixed Signal Integrated Circuits Testing - A Study 6.0 Introduction In the previous chapters, efficient and new methods and algorithms have been presented in analog fault diagnosis. Also a reconfigurable hardware model to test different analog circuits is developed. New methods and approaches have to be developed in mixedsignal systems. In this chapter a brief introduction to different methods available to test mixed signal systems is presented. Also proper illustrations using some popular mixed-signal circuits like ADC and Software Defined radio (SDR) are discussed. Mixed Signal technology combines analog and digital signals together. This combination results in devices which are powerful in performance and have huge potential. A mixed signal circuit can be defined as a circuit consisting of both digital and analog elements. A mixed signal system processes analog information into digital form or processes digital information into analog information or both. Integrated circuits with analog, digital and mixed signal circuits are now fabricated on the same substrate, thus reducing the cost of packaging and assembly.

2 119 The continuous decrease in the cost to manufacture a transistor, mainly due to the exponential decrease in the CMOS technology minimum feature length, has enabled higher levels of integration and the creation of extremely sophisticated and complex designs and systems on chip (SOCs). This increase in packing density has been coupled with a cost-of-test function that has remained fairly constant over the past two decades. Many reasons have contributed to a fairly flat cost-of-test function over the past years. Although transistor dimensions have been shrinking, the same cannot be said about the number of input and output operations needed. In fact, the increased packing density and operational speeds have been inevitably linked to an increased pin count. First, maintaining a constant pin count bandwidth ratio can be achieved through parallelism. Second, the increased power consumption implies an increased number of dedicated supply and ground pins for reliability reasons. Third, the increased complexity and the multiple functionalities implemented in today s SOCs entail the need for an increased number of probing pins for debugging and testing purposes. All the abovementioned reasons, among others, have resulted in an increased test cost. Testing high-speed analogue and mixed-signal designs in particular, is difficult task. Also observing critical nodes in a system is becoming increasingly challenging. As the technology keeps scaling,

3 120 especially past the 90 nm technology, metal layers and packing densities are increasing as a function of signal bandwidth and rise times extending beyond the Gigahertz range. Viewing tools such as wafer or on-chip probing are no longer feasible since the large parasitic capacitance loading of a contacting probe would dramatically disturb the normal operation of the circuit. On the other hand, the automatic test equipment (ATE) interface has become a major bottleneck to deliver signals with high fidelity, due to the significant distances the signals have to travel at such operational speeds. In addition, the ATE cost is exploding to keep up with the ability to test complex integrated SOCs. Embedded test techniques, benefitting from electrical proximity, area over head scaling and bandwidth improvements, lead to at-speed testing, therefore constitute the key to an economically viable test platform. When test solutions are placed on the chip, they are known as a structural test or built-in self test (BIST). The test solutions can also be on the board level or as part of the requirements of the ATE. Each solution will entail verification of signal fidelity and responsibility to different people (the designer, the test engineer or the ATE manufacturer), different calibration techniques and different test instruments, all of which directly impact the test cost, and therefore the overall part cost to the consumer. It is important to point out that there is a lot of effort on placing more components on the board, as well as trying to combat the exploding

4 121 costs of big ATE systems through low-cost ones, specifically to combat the volume or production testing of semiconductor devices. Most complex mixed-signal devices include at least some stand-alone analog circuits that do not interact with the digital logic at all. Thus, the testing of op amps, comparators, voltage references, and other purely analog circuits must be included in mixed-signal testing. Digital design is the most predominant in integrated chip technology because of its small size, low power and reliability. Invariably all the digital technology will have some analog components. This is because signals emanating from storage media, transmission media, and physical sensors are basically analog. Moreover, digital systems may have to output analog signals to actuators, displays, and transmission media. Clearly, the need for analog interface functions like filters, analogto-digital converters (ADC s), phase-locked loops, etc., is inherent in such systems. The explosion in telecommunications, consumer and automotive electronics industry has resulted in more and more mixed signal devices being designed. The integration of digital and analog components on the same chip is done to improve performance, reduce the size and cost of fabrication. This type of mixed signal design has thrown new challenges in testing these circuits. One possible way of testing mixed signal circuits is to test separately the digital system and the analog system. The

5 122 increased integration of circuits into a single semiconductor die is one of the most challenging aspects of mixed-signal test engineering. 6.1 Types of Analog and Mixed-Signal Circuits Some Analog circuits are Operational Amplifiers, Active or passive filters, comparators, voltage regulators, analog mixers, and analog switches. A simplest mixed signal circuit can be CMOS analog switch. In this circuit the resistance of a CMOS transistor is varied between high and low impedance under control of a digital signal. Another simple type of mixed signal circuit is the programmable gain amplifier (PGA). The PGA is used at the front end of a mixed signal circuit to allow for a wide range of input signal amplitudes. The most common circuits that can truly be considered mixed signal devices are analog to digital converters (ADC s) and digital to analog converters (DAC s). An ADC is a circuit that samples a continuous analog signal at specific points in time and converts the sampled voltages or currents into a digital representation. This digital representation is called a sample. A DAC is a circuit that converts the digital samples into analog signals. These two circuits ADC and DAC form the interface between the real world signals and the digital part. Another complex mixed-signal system is a digital cellular telephone. The cellular telephone consists of many analog, digital and mixed-signal circuits working together in a complex fashion. The cellular telephone user interfaces with the keyboard and the display to answer

6 123 incoming calls or to initiate the outgoing calls. The control microprocessor is used to handle the user interfaces. The various circuit blocks of the cellular telephone may be grouped into a small number of individual integrated circuits called chipsets. The test engineer must be ready to test the individual pieces of the cellular telephone or to test the telephone as a whole. 6.2 Testing The digital part of the mixed signal system can be tested with the several standard methods available. The digital testing is aided by software for automatic test pattern generation, scan chains and built in self test methods. The digital testing domain is mature, efficient and cost effective. The testing of analog parts of the mixed signal set up is more complex as the fault models are not well established. Also the statistical distribution of analog faults is generally not known with precision. This makes prediction of faults in a test set difficult. The testing of the analog parts of the combined mixed signal system is thus not well understood. The test sets are typically based on the designers experience and specifications of the circuit. A typical test set up is shown in Figure 6.1 below.

7 124 Figure 6.1: Mixed-Signal Device Test Set up As seen from the figure, digital inputs are applied to the digital block. Signal generator is used to excite the analog portion of the mixed signal circuit. The signal applied can be a dc signal, sinusoidal signal, square wave or can be a random signal with a known probability distribution function. The output response of the system is measured with a RMS power meter. The operating range is narrow band which is tunable. Sinusoidal inputs are generally used to test linear analog circuits such as amplifiers, data converters and filters. The output magnitude and phase are measured as a function of the input frequency. Also non deterministic input signals can be used as inputs to test the analog circuits transfer characteristics Limitations The approach discussed in the earlier section is too straight forward and many factors limit such type of approach. The limitations are:

8 125 Efficient development of bug-free test sets to check for the functionality of the analog components. This should be at-speed operation of the entire system. At- speed testing depends on the interactions between the analog and digital portions of the chip, which is not generic. The testing is very intensive and decides the time to market of the system. Generally the testing of the analog part of the mixed signal system is done after the design is complete. The main reason for this is the absence of a comprehensive CAD test tool. To realize a design which satisfies the given specifications, the system has to be tested number of times, which require changes in the design. In contrast the digital design is fully automated and CAD test tools are available which generate test patterns to test the prototypes of the design. The circuit can be tested at the register transfer level or at the gate level. The standard test methodologies for testing digital circuits are simple and consist of largely scan chains, automatic test pattern generators and are generally used to test catastrophic faults, processing and manufacturing errors. The digital testing consists of cost effective digital BIST. Analog testing is done to meet the design specifications under process variations, mismatches and loading effects. Digital circuits testing is binary i.e. either faulty or not faulty. In analog circuits the

9 126 circuit behavior is categorized into nominal and uncertainty range. The deviation from the nominal is dependent on the type of application and can be ±0.1% from the nominal. The Figure 6.2 shows the functional behavior of the digital and analog circuits. Figure 6.2: Functional Behavioral description (a) Digital (b) Analog Efficient development of complete and full testing of the analog circuits in a mixed signal system can be cost wise prohibitive. To illustrate take an example of a 13-bit ADC. To measure its integral nonlinearity (INL) it requires locating 8192 (2 13 ) input voltages. This large number of inputs for testing takes lot of time. To expedite the process of testing parallel test stations have to be used. This increases the cost of testing a mixed-signal system. This increases the production cost and also the time to market. It is desired to diagnose all faults at a low cost and in a very short time. In some cases the inputs to the analog components may not be accessible to the test engineer. One solution to this problem is to bring the required number of test nodes to the package pins. But this has a main disadvantage, as the testing probe loading effects can degrade the measurements made. Another solution to increase the testability is to

10 127 add extra components to access the input and outputs of the analog system. Even though this method increases the testability of the system, it may increase the parasitic effects and degrade the performance of the circuit in some cases. 6.3 Analog and Mixed Signal BIST The challenges faced by Mixed-signal BIST designers are many, when compared to the digital BIST. The analog BIST sometimes lack robust traceability, the use of un-calibrated on-chip analog stimulus and measurement circuits throws doubts into the accuracy of the measurements. An analog BIST designer must define a calibration strategy for the analog circuits. Another problem with the DAC and ADC based BIST is that the on chip instrumentation is often inferior to types of programmable equipment available on the ATE equipment. Finally the circuit overhead required to implement an efficient BIST has to be looked into. For example in ADC or DAC circuits, a processor is needed to generate sine waves to the DAC. This processor may be used to collect samples from the ADC. So the BIST operation must perform FFT on the results, evaluating signal-to-noise ratio, fundamental amplitude, distortion components etc. To perform such wide range of operations a powerful DSP processor is needed. The Input stimuli to a mixed- signal can be from a ROM or DSP circuitry, rather than an LFSR. The main aim of these approaches is to keep the hardware overhead to minimum. This is done by reconfiguring

11 128 and reusing the circuitry on the chip during the test mode. In the test mode the outputs of the analog block is measured by routing the analog input pins, as shown in Figure 6.3. Figure 6.3: BIST Implementation The outputs of the analog input block are embedded on the chip as such are not accessible. During the test mode, the outputs are measured after converting the signals into digital form. This is done by the ADC present on the chip. The outputs are captured and stored, by using the built in logic block observers (BILBO). The stored signals are then fed in to the multiple input signature register (MISR). The MISR performs the task of on chip data compaction using signature analysis.

12 129 Now the same procedure used in analyzing the on chip digital response is used to analyze the analog test results also. This is possible because the analog signals have been converted into digital form by the on chip ADC. The response of the analog block is used to diagnose the circuit for faults. One of the methods used are signature analysis of the digitized response of the analog block. Another method is to compare the output response of the analog block with the known fault free response of the system. Thus the fault status of the analog block is decided. The nominal state or the fault-free state of the analog block is stored in the ROM. The signals stored in the ROM can be pre processed or post processed depending on the functional characteristics of the analog blocks. A BIST circuit can also be used to detect abnormal changes in the power supply current. This circuit can be used to detect the upper and lower limits of the input peak current. Then the BIST will generate an appropriate digital signal to indicate the drastic changes in power supply current. The BIST can also be made to indicate faults. The generic methods have been presented in mixed-signal integrated circuits testing [123]. The scan path method in association with BIST is generally used to test digital circuitry. The analog cell test strategy adopted here is based on the evaluation of the transfer function of the cell under test by transient response techniques. The response of the cell to the transient response completely specifies the functionality of

13 130 the circuit. This results in minimum scan path data loading, simple test vector generation and the ability to directly propagate these test vectors. The proposed method is shown in Figure 6.4 below. Figure 6.4: Mixed Signal Model with interface scan testability As shown in the figure above, special interface scan paths are provided to access analog test points. The system is partitioned into digital and analog parts and an interface is provided between the scan path and the analog part of the mixed-signal IC. 6.4 Testing of Mixed-Signal Systems Testing of some mixed-signal systems is illustrated in this section. Some important mixed-signal systems are the ADC and Software Defined Radio (SDR) Testing ADC The IEEE Std [124] is the new standard for ADC terminology and testing. This strongly relies on the frequency domain techniques. Frequency domain techniques tend to be favored in

14 131 manufacturing because two records of data can produce a robust characterization of the data. Analogue-to-digital converters (ADC) are mixed-signal functions that are frequently used to create an interface between sensing and actuation devices in industrial control, transportation, consumer electronics and instrumentation industries. They are also used in the conversion of analogue voice and video data in the computing and communications. In control applications, the trend is towards medium speed ( khz) and high resolution (>16 bits) and the test requirements are focused towards linearity testing. In communications applications, trends are similar. However, dynamic performance tends to be critical, especially in voice processing applications. Consumer goods are another important application where a high conversion speed (up to hundreds of megahertz) and low-to-medium resolution (8 12 bits) are the norm. For test engineers, optimization of the test programs for verification of key specifications. The most popular method of testing ADC is based on DSP. This is done by injecting the DSP with a known stimulus and then the output which is digital is processed. The output data is processed using FFT, thus extracting the dynamic specifications of the system. A generic test set up is shown in Figure 6.3. A suitable stimulus is applied to the ADC under test by using a suitable test access

15 132 mechanism. The test stimulus generator block corresponds to one or more sine waves, arbitrary waveforms or pulse generator(s) depending on the type of test to be executed. Generally, the response is captured for processing in a test sink. Figure 6.5: ADC Test Set Up As shown in the Fig. 6.5, the conventional ADC test set-up has a test source and test sink. These are part of the external ATE and are centrally controlled. The ATE interfaces with the IC via a device interface board. The input output pins and the internal interconnections of the IC are used as test access mechanism. But this may not be always possible. Generally some other means of accessing the test points is provided. These test access points have to be incorporated into the chip during early stages of the IC design. This method is particularly helpful in cases where there is a limited pin count or the ADC is deeply embedded in to the SOC. Systematic design methodologies that increase test access, referred to as design-for-testability (DFT), are standardized at various system levels. The IEEE standard , also known as boundary-scan,

16 133 supports digital IC and board level tests [125]. Its extension to analogue and mixed-signal systems, IEEE standard , adds an analogue test bus to increase access to analogue IC pins and internal nodes [126]. The ADC performance is verified by two methods: i) Static performance parameter Test and ii) Dynamic performance parameter test. The ADC performance is verified in terms of the static performance parameters. This is done by computing the transfer function. There are two popular methodologies Feedback-Loop test methodology and the Histogram testing methodology. In the Feedback-Loop test methodology a feed back loop is incorporated into the ADC to force the input voltage to oscillate around a desired code transition level. At the test source side an analog integrator is employed to continuously integrate the positive or negative reference voltage. This is done to generate the stimulus. The reference voltage to integrate is toggled depending on a comparison result between the A/D converter s output code, C and a set desired output code, D, after each conversion. If C < D, the positive reference voltage is connected to the analogue integrator to set a positive slope in the test stimulus. If C > D, the negative reference voltage is chosen to obtain a negative slope in the test stimulus. Once the input stimulus has reached the desired code transition level T [D], the feedback from the digital comparator enforces oscillation

17 134 around T [D] at the converter input. Measuring the average voltage at the ADC input yields the value of the particular code transition level. In the Histogram test methodology, the ADC code transition levels are determined through statistical analysis of the converter activity. A periodic stimulus is applied to the input. The histogram code counts for an integer number of input wave form periods are computed. There are two types of histograms used, based on the test stimuli employed. The first one is called ramp histogram or linear histogram and the second is known as sine-wave histogram or dynamic histogram. The ramp histogram is calculated for linear triangular waveform and the sine-wave histogram is collected for a sinusoidal input waveform. The Figure 6.6 shows the two types of Histogram methods. Another method is the dynamic performance parameter testing is to identify the signals components at the ADC output. In most of these parameters the transformation from the time domain to frequency domain is required to compute performance parameters. There are two types of methodologies used. These are: (a) Frequency Domain test methodology and (b) Sine wave Fitting test methodology.

18 135 Figure 6.6: Histogram generation (a) Linear and (b) Sine wave Testing of Software Defined Radio (SDR) A Software Defined Radio (SDR) technology facilitates implementation of some of the functional modules in a radio system such as modulation/demodulation, signal generation, coding, and link layer protocols in software. A SDR is required to have elements of reconfigurability, intelligence and software programmable hardware built into it [127]. A software radio system can be viewed through the aspects of hardware, software, application and user [128]. The analog parts of SDR are subjected to variable specifications like, dynamic range and signal-to-noise ratio for the ADC, automatic gain control, selectivity and linearity for the channel-select filter [129]. A Software Defined Radio (SDR) is a good example of a mixedsignal system. It consists of a digital subsystem and an analog

19 136 subsystem. The analog functions are an antenna, RF Filtering, combination of radio frequencies, pre amplification, power amplification and reference frequency generation. The Figure 6.7 shows the ideal SDR architecture. As seen from the figure the analog conversion stage is close to the antenna. Also this is prior to the power amplifier in the transmitter and after the low noise amplifier in the receiver. The separation of carriers and up-down frequency conversion to base band is performed by the digital block. Also the channel coding and modulation functions are performed digitally at base band by the same processing resources. Figure 6.7: Ideal SDR Architecture Conventional radio communication systems typically target one specific region of the available radio spectrum for transmitting wanted

20 137 signal information or receiving this information. These radio communications may span a wide range of frequencies but can process only one radio channel at a time. The software defined radio concept seeks to avoid this limitation by digitally processing wide allocations of spectral bandwidth containing multiple signals of interest. The conventional radio communication systems are usually inflexible in the modulation formats and associated signal band widths that can be accommodated. For example a communication receiver allows reception of SSB, DSB or AM but is incapable of processing digital modulation formats such as DQPSK, PSK, QAM, OFDM or CDMA. The SDR overcomes these limitations by using digital techniques. Some key desired features of the SDR are: Ability to process multiple radio signals at different frequencies. Ability to process signals at multiple non contagious radio spectrums like medium wave, short wave, HF, VHF etc. Ability to adjust to any modulation format. Ability to process signals which belong to different modulation bandwidths and data rates in parallel. The signal bandwidth can be defined by the software present in the digital domain. The performance is enhanced by just upgrading the software, without loss of service.

21 138 SDR is a technology, which implements both analog and digital systems and thus, there are more challenges in the testing of these devices. 6.5 Conclusions In this chapter a brief discussion of the issues in mixed-signal system is provided. Elaborate test methods in mixed signals are discussed. Also BIST for mixed signals has been dealt with in detail. The detailed testing methods of ADC have been presented. A brief discussion about the Software Defined Radio is also presented.

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method Ms. Harshal Meharkure 1, Mr. Swapnil Gourkar 2 1 Lecturer,

More information

Online Monitoring for Automotive Sub-systems Using

Online Monitoring for Automotive Sub-systems Using Online Monitoring for Automotive Sub-systems Using 1149.4 C. Jeffrey, A. Lechner & A. Richardson Centre for Microsystems Engineering, Lancaster University, Lancaster, LA1 4YR, UK 1 Abstract This paper

More information

Oscillation Test Methodology for Built-In Analog Circuits

Oscillation Test Methodology for Built-In Analog Circuits Oscillation Test Methodology for Built-In Analog Circuits Ms. Sankari.M.S and Mr.P.SathishKumar Department of ECE, Amrita School of Engineering, Bangalore, India Abstract This article aims to describe

More information

Lecture 1, Introduction and Background

Lecture 1, Introduction and Background EE 338L CMOS Analog Integrated Circuit Design Lecture 1, Introduction and Background With the advances of VLSI (very large scale integration) technology, digital signal processing is proliferating and

More information

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM

A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM A GENERAL SYSTEM DESIGN & IMPLEMENTATION OF SOFTWARE DEFINED RADIO SYSTEM 1 J. H.VARDE, 2 N.B.GOHIL, 3 J.H.SHAH 1 Electronics & Communication Department, Gujarat Technological University, Ahmadabad, India

More information

Reinventing the Transmit Chain for Next-Generation Multimode Wireless Devices. By: Richard Harlan, Director of Technical Marketing, ParkerVision

Reinventing the Transmit Chain for Next-Generation Multimode Wireless Devices. By: Richard Harlan, Director of Technical Marketing, ParkerVision Reinventing the Transmit Chain for Next-Generation Multimode Wireless Devices By: Richard Harlan, Director of Technical Marketing, ParkerVision Upcoming generations of radio access standards are placing

More information

Recent Advances in Analog, Mixed-Signal, and RF Testing

Recent Advances in Analog, Mixed-Signal, and RF Testing IPSJ Transactions on System LSI Design Methodology Vol. 3 19 46 (Feb. 2010) Invited Paper Recent Advances in Analog, Mixed-Signal, and RF Testing Kwang-Ting (Tim) Cheng 1 and Hsiu-Ming (Sherman) Chang

More information

Issues and Challenges of Analog Circuit Testing in Mixed-Signal SOC

Issues and Challenges of Analog Circuit Testing in Mixed-Signal SOC VDEC D2T Symposium Dec. 11 2009 Issues and Challenges of Analog Circuit Testing in Mixed-Signal SOC Haruo Kobayashi Gunma University k_haruo@el.gunma-u.ac.jp 1 Contents 1. Introduction 2. Review of Analog

More information

Simulating and Testing of Signal Processing Methods for Frequency Stepped Chirp Radar

Simulating and Testing of Signal Processing Methods for Frequency Stepped Chirp Radar Test & Measurement Simulating and Testing of Signal Processing Methods for Frequency Stepped Chirp Radar Modern radar systems serve a broad range of commercial, civil, scientific and military applications.

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

The Fundamentals of Mixed Signal Testing

The Fundamentals of Mixed Signal Testing The Fundamentals of Mixed Signal Testing Course Information The Fundamentals of Mixed Signal Testing course is designed to provide the foundation of knowledge that is required for testing modern mixed

More information

Evaluation of Package Properties for RF BJTs

Evaluation of Package Properties for RF BJTs Application Note Evaluation of Package Properties for RF BJTs Overview EDA simulation software streamlines the development of digital and analog circuits from definition of concept and estimation of required

More information

Modulation Based On-Chip Ramp Generator for ADC BIST

Modulation Based On-Chip Ramp Generator for ADC BIST Modulation Based On-Chip Ramp Generator for ADC BIST WAG YOG-SHEG, WAG JI-XIAG, LAI FEG-CHAG, YE YI-ZHEG Microelectronics Center Harbin Institute of Technology 92#, Xidazhi Street, Harbin, Heilongjiang,

More information

Yet, many signal processing systems require both digital and analog circuits. To enable

Yet, many signal processing systems require both digital and analog circuits. To enable Introduction Field-Programmable Gate Arrays (FPGAs) have been a superb solution for rapid and reliable prototyping of digital logic systems at low cost for more than twenty years. Yet, many signal processing

More information

A Review of Phase Locked Loop Design Using VLSI Technology for Wireless Communication.

A Review of Phase Locked Loop Design Using VLSI Technology for Wireless Communication. A Review of Phase Locked Loop Design Using VLSI Technology for Wireless Communication. PG student, M.E. (VLSI and Embedded system) G.H.Raisoni College of Engineering and Management, A nagar Abstract: The

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Exploring the Basics of AC Scan

Exploring the Basics of AC Scan Page 1 of 8 Exploring the Basics of AC Scan by Alfred L. Crouch, Inovys This in-depth discussion of scan-based testing explores the benefits, implementation, and possible problems of AC scan. Today s large,

More information

A Digital Signal Processor for Musicians and Audiophiles Published on Monday, 09 February :54

A Digital Signal Processor for Musicians and Audiophiles Published on Monday, 09 February :54 A Digital Signal Processor for Musicians and Audiophiles Published on Monday, 09 February 2009 09:54 The main focus of hearing aid research and development has been on the use of hearing aids to improve

More information

THE BENEFITS OF DSP LOCK-IN AMPLIFIERS

THE BENEFITS OF DSP LOCK-IN AMPLIFIERS THE BENEFITS OF DSP LOCK-IN AMPLIFIERS If you never heard of or don t understand the term lock-in amplifier, you re in good company. With the exception of the optics industry where virtually every major

More information

Electronics Interview Questions

Electronics Interview Questions Electronics Interview Questions 1. What is Electronic? The study and use of electrical devices that operate by controlling the flow of electrons or other electrically charged particles. 2. What is communication?

More information

22. VLSI in Communications

22. VLSI in Communications 22. VLSI in Communications State-of-the-art RF Design, Communications and DSP Algorithms Design VLSI Design Isolated goals results in: - higher implementation costs - long transition time between system

More information

The wireless industry

The wireless industry From May 2007 High Frequency Electronics Copyright Summit Technical Media, LLC RF SiP Design Verification Flow with Quadruple LO Down Converter SiP By HeeSoo Lee and Dean Nicholson Agilent Technologies

More information

FUNDAMENTALS OF ANALOG TO DIGITAL CONVERTERS: PART I.1

FUNDAMENTALS OF ANALOG TO DIGITAL CONVERTERS: PART I.1 FUNDAMENTALS OF ANALOG TO DIGITAL CONVERTERS: PART I.1 Many of these slides were provided by Dr. Sebastian Hoyos January 2019 Texas A&M University 1 Spring, 2019 Outline Fundamentals of Analog-to-Digital

More information

Chapter 1 Introduction to VLSI Testing

Chapter 1 Introduction to VLSI Testing Chapter 1 Introduction to VLSI Testing 2 Goal of this Lecture l Understand the process of testing l Familiar with terms used in testing l View testing as a problem of economics 3 Introduction to IC Testing

More information

Datorstödd Elektronikkonstruktion

Datorstödd Elektronikkonstruktion Datorstödd Elektronikkonstruktion [Computer Aided Design of Electronics] Zebo Peng, Petru Eles and Gert Jervan Embedded Systems Laboratory IDA, Linköping University http://www.ida.liu.se/~tdts80/~tdts80

More information

DIGITAL PRE-DISTORTION LINEARIZER FOR A REALIZATION OF AUTOMATIC CALIBRATION UNIT

DIGITAL PRE-DISTORTION LINEARIZER FOR A REALIZATION OF AUTOMATIC CALIBRATION UNIT DIGITAL PRE-DISTORTION LINEARIZER FOR A REALIZATION OF AUTOMATIC CALIBRATION UNIT Tien Dzung DOAN, Chih Fung LAM, Kei SAKAGUCHI, Jun-ichi TAKADA, Kiyomichi ARAKI Graduate School of Science and Engineering,

More information

Analog front-end electronics in beam instrumentation

Analog front-end electronics in beam instrumentation Analog front-end electronics in beam instrumentation Basic instrumentation structure Silicon state of art Sampling state of art Instrumentation trend Comments and example on BPM Future Beam Position Instrumentation

More information

CHARACTERIZATION OF SDR/CR FRONT-ENDS FOR IMPROVED DIGITAL SIGNAL PROCESSING ALGORITHMS. Diogo C. Ribeiro, Pedro Miguel Cruz, and Nuno Borges Carvalho

CHARACTERIZATION OF SDR/CR FRONT-ENDS FOR IMPROVED DIGITAL SIGNAL PROCESSING ALGORITHMS. Diogo C. Ribeiro, Pedro Miguel Cruz, and Nuno Borges Carvalho CHARACTERIZATION OF SDR/CR FRONT-ENDS FOR IMPROVED DIGITAL SIGNAL PROCESSING ALGORITHMS Diogo C. Ribeiro, Pedro Miguel Cruz, and Nuno Borges Carvalho Instituto de Telecomunicações - Universidade de Aveiro

More information

TESTING OF AN 8-BIT SIGMA DELTA ADC BASED ON CODE WIDTH TECHNIQUE USING 45nm TECHNOLOGY

TESTING OF AN 8-BIT SIGMA DELTA ADC BASED ON CODE WIDTH TECHNIQUE USING 45nm TECHNOLOGY 2016 International Conference on Micro-Electronics and Telecommunication Engineering TESTING OF AN 8-BIT SIGMA DELTA ADC BASED ON CODE WIDTH TECHNIQUE USING 45nm TECHNOLOGY Yogita Tembhre ME Research Scholar

More information

TUTORIAL 283 INL/DNL Measurements for High-Speed Analog-to- Digital Converters (ADCs)

TUTORIAL 283 INL/DNL Measurements for High-Speed Analog-to- Digital Converters (ADCs) Maxim > Design Support > Technical Documents > Tutorials > A/D and D/A Conversion/Sampling Circuits > APP 283 Maxim > Design Support > Technical Documents > Tutorials > High-Speed Signal Processing > APP

More information

Introduction to CMC 3D Test Chip Project

Introduction to CMC 3D Test Chip Project Introduction to CMC 3D Test Chip Project Robert Mallard CMC Microsystems Apr 20, 2011 1 Overview of today s presentation Introduction to the project objectives CMC Why 3D chip stacking? The key to More

More information

About the Tutorial. Audience. Prerequisites. Copyright & Disclaimer. Linear Integrated Circuits Applications

About the Tutorial. Audience. Prerequisites. Copyright & Disclaimer. Linear Integrated Circuits Applications About the Tutorial Linear Integrated Circuits are solid state analog devices that can operate over a continuous range of input signals. Theoretically, they are characterized by an infinite number of operating

More information

UPSC Electrical Engineering Syllabus

UPSC Electrical Engineering Syllabus UPSC Electrical Engineering Syllabus UPSC Electrical Engineering Syllabus PAPER I 1. Circuit Theory: Circuit components; network graphs; KCL, KVL; circuit analysis methods: nodal analysis, mesh analysis;

More information

THIS work focus on a sector of the hardware to be used

THIS work focus on a sector of the hardware to be used DISSERTATION ON ELECTRICAL AND COMPUTER ENGINEERING 1 Development of a Transponder for the ISTNanoSAT (November 2015) Luís Oliveira luisdeoliveira@tecnico.ulisboa.pt Instituto Superior Técnico Abstract

More information

APPLICATION NOTE 695 New ICs Revolutionize The Sensor Interface

APPLICATION NOTE 695 New ICs Revolutionize The Sensor Interface Maxim > Design Support > Technical Documents > Application Notes > Sensors > APP 695 Keywords: high performance, low cost, signal conditioner, signal conditioning, precision sensor, signal conditioner,

More information

A review paper on Software Defined Radio

A review paper on Software Defined Radio A review paper on Software Defined Radio 1 Priyanka S. Kamble, 2 Bhalchandra B. Godbole Department of Electronics Engineering K.B.P.College of Engineering, Satara, India. Abstract -In this paper, we summarize

More information

ADVANCES in VLSI technology result in manufacturing

ADVANCES in VLSI technology result in manufacturing INTL JOURNAL OF ELECTRONICS AND TELECOMMUNICATIONS, 2013, VOL. 59, NO. 1, PP. 99 104 Manuscript received January 8, 2013; revised March, 2013. DOI: 10.2478/eletel-2013-0012 Rapid Prototyping of Third-Order

More information

ADVANCED WAVEFORM GENERATION TECHNIQUES FOR ATE

ADVANCED WAVEFORM GENERATION TECHNIQUES FOR ATE ADVANCED WAVEFORM GENERATION TECHNIQUES FOR ATE Christopher D. Ziomek Emily S. Jones ZTEC Instruments, Inc. 7715 Tiburon Street NE Albuquerque, NM 87109 Abstract Comprehensive waveform generation is an

More information

Technical challenges for high-frequency wireless communication

Technical challenges for high-frequency wireless communication Journal of Communications and Information Networks Vol.1, No.2, Aug. 2016 Technical challenges for high-frequency wireless communication Review paper Technical challenges for high-frequency wireless communication

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

Software Defined Radio: Enabling technologies and Applications

Software Defined Radio: Enabling technologies and Applications Mengduo Ma Cpr E 583 September 30, 2011 Software Defined Radio: Enabling technologies and Applications A Mini-Literature Survey Abstract The survey paper identifies the enabling technologies and research

More information

DESIGN OF A MEASUREMENT PLATFORM FOR COMMUNICATIONS SYSTEMS

DESIGN OF A MEASUREMENT PLATFORM FOR COMMUNICATIONS SYSTEMS DESIGN OF A MEASUREMENT PLATFORM FOR COMMUNICATIONS SYSTEMS P. Th. Savvopoulos. PhD., A. Apostolopoulos 2, L. Dimitrov 3 Department of Electrical and Computer Engineering, University of Patras, 265 Patras,

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

End-to-End Test Strategy for Wireless Systems

End-to-End Test Strategy for Wireless Systems End-to-End Test Strategy for Wireless Systems Madhuri Jarwala, Duy Le, Michael S. Heutmaker AT&T Bell Laboratories Engineering Research Center Princeton, NJ 08542 Abstract This paper proposes an end-to-end

More information

CHAPTER 4 A NEW CARRIER BASED PULSE WIDTH MODULATION STRATEGY FOR VSI

CHAPTER 4 A NEW CARRIER BASED PULSE WIDTH MODULATION STRATEGY FOR VSI 52 CHAPTER 4 A NEW CARRIER BASED PULSE WIDTH MODULATION STRATEGY FOR VSI 4.1 INTRODUCTION The present day applications demand ac power with adjustable amplitude and frequency. A well defined mode of operation

More information

A Case Study - RF ASIC validation of a satellite transceiver

A Case Study - RF ASIC validation of a satellite transceiver A Case Study - RF ASIC validation of a satellite transceiver Maeve Colbert IC Design Engineer S3 Semiconductors WEBSITE: www.s3semi.com CONTACT: info@s3semi.com Contents Abstract...1 Planning for Validation...2

More information

A DSP-Based Ramp Test for On-Chip High-Resolution ADC

A DSP-Based Ramp Test for On-Chip High-Resolution ADC SUBMITTED TO IEEE ICIT/SSST A DSP-Based Ramp Test for On-Chip High-Resolution ADC Wei Jiang and Vishwani D. Agrawal Electrical and Computer Engineering, Auburn University, Auburn, AL 36849 weijiang@auburn.edu,

More information

DAV Institute of Engineering & Technology Department of ECE. Course Outcomes

DAV Institute of Engineering & Technology Department of ECE. Course Outcomes DAV Institute of Engineering & Technology Department of ECE Course Outcomes Upon successful completion of this course, the student will intend to apply the various outcome as:: BTEC-301, Analog Devices

More information

APPLICATION NOTE 3942 Optimize the Buffer Amplifier/ADC Connection

APPLICATION NOTE 3942 Optimize the Buffer Amplifier/ADC Connection Maxim > Design Support > Technical Documents > Application Notes > Communications Circuits > APP 3942 Maxim > Design Support > Technical Documents > Application Notes > High-Speed Interconnect > APP 3942

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems. Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University

A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems. Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University Outline of Presentation Need for Test & Overview of BIST

More information

REPORT ITU-R M Adaptability of real zero single sideband technology to HF data communications

REPORT ITU-R M Adaptability of real zero single sideband technology to HF data communications Rep. ITU-R M.2026 1 REPORT ITU-R M.2026 Adaptability of real zero single sideband technology to HF data communications (2001) 1 Introduction Automated HF communications brought a number of innovative solutions

More information

Cosimulating Synchronous DSP Applications with Analog RF Circuits

Cosimulating Synchronous DSP Applications with Analog RF Circuits Presented at the Thirty-Second Annual Asilomar Conference on Signals, Systems, and Computers - November 1998 Cosimulating Synchronous DSP Applications with Analog RF Circuits José Luis Pino and Khalil

More information

Design of CMOS Based PLC Receiver

Design of CMOS Based PLC Receiver Available online at: http://www.ijmtst.com/vol3issue10.html International Journal for Modern Trends in Science and Technology ISSN: 2455-3778 :: Volume: 03, Issue No: 10, October 2017 Design of CMOS Based

More information

Low-Cost Power Sources Meet Advanced ADC and VCO Characterization Requirements

Low-Cost Power Sources Meet Advanced ADC and VCO Characterization Requirements Low-Cost Power Sources Meet Advanced ADC and VCO Characterization Requirements Our thanks to Agilent Technologies for allowing us to reprint this article. Introduction Finding a cost-effective power source

More information

Test Synthesis for Mixed-Signal SOC Paths Λ

Test Synthesis for Mixed-Signal SOC Paths Λ Test Synthesis for Mixed-Signal SOC Paths Λ Sule Ozev, Ismet Bayraktaroglu, and Alex Orailoglu Computer Science and Engineering Department University of California, San Diego La Jolla, CA 993 fsozev, ibayrakt,

More information

Wideband Spectral Measurement Using Time-Gated Acquisition Implemented on a User-Programmable FPGA

Wideband Spectral Measurement Using Time-Gated Acquisition Implemented on a User-Programmable FPGA Wideband Spectral Measurement Using Time-Gated Acquisition Implemented on a User-Programmable FPGA By Raajit Lall, Abhishek Rao, Sandeep Hari, and Vinay Kumar Spectral measurements for some of the Multiple

More information

Application Note (A12)

Application Note (A12) Application Note (A2) The Benefits of DSP Lock-in Amplifiers Revision: A September 996 Gooch & Housego 4632 36 th Street, Orlando, FL 328 Tel: 47 422 37 Fax: 47 648 542 Email: sales@goochandhousego.com

More information

A 1.9GHz Single-Chip CMOS PHS Cellphone

A 1.9GHz Single-Chip CMOS PHS Cellphone A 1.9GHz Single-Chip CMOS PHS Cellphone IEEE JSSC, Vol. 41, No.12, December 2006 William Si, Srenik Mehta, Hirad Samavati, Manolis Terrovitis, Michael Mack, Keith Onodera, Steve Jen, Susan Luschas, Justin

More information

Overview and Challenges

Overview and Challenges RF/RF-SoC Overview and Challenges Fang Chen May 14, 2004 1 Content What is RF Research Topics in RF RF IC Design/Verification RF IC System Design Circuit Implementation What is RF-SoC Design Methodology

More information

UNLIKE digital circuits, the specifications of analog circuits

UNLIKE digital circuits, the specifications of analog circuits IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 4, APRIL 1998 573 Design for Testability of Embedded Integrated Operational Amplifiers Karim Arabi, Member, IEEE, and Bozena Kaminska, Member, IEEE Abstract

More information

A COMPACT, AGILE, LOW-PHASE-NOISE FREQUENCY SOURCE WITH AM, FM AND PULSE MODULATION CAPABILITIES

A COMPACT, AGILE, LOW-PHASE-NOISE FREQUENCY SOURCE WITH AM, FM AND PULSE MODULATION CAPABILITIES A COMPACT, AGILE, LOW-PHASE-NOISE FREQUENCY SOURCE WITH AM, FM AND PULSE MODULATION CAPABILITIES Alexander Chenakin Phase Matrix, Inc. 109 Bonaventura Drive San Jose, CA 95134, USA achenakin@phasematrix.com

More information

EECS 579 Fall What is Testing?

EECS 579 Fall What is Testing? EECS 579 Fall 2001 Recap Text (new): Essentials of Electronic Testing by M. Bushnell & V. Agrawal, Kluwer, Boston, 2000. Class Home Page: http://www.eecs.umich.edu/courses/eecs579 Lecture notes and other

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 20.5 A 2.4GHz CMOS Transceiver and Baseband Processor Chipset for 802.11b Wireless LAN Application George Chien, Weishi Feng, Yungping

More information

A TDC based BIST Scheme for Operational Amplifier Jun Yuan a and Wei Wang b

A TDC based BIST Scheme for Operational Amplifier Jun Yuan a and Wei Wang b Applied Mechanics and Materials Submitted: 2014-07-19 ISSN: 1662-7482, Vols. 644-650, pp 3583-3587 Accepted: 2014-07-20 doi:10.4028/www.scientific.net/amm.644-650.3583 Online: 2014-09-22 2014 Trans Tech

More information

Agilent Technologies Gli analizzatori di reti della serie-x

Agilent Technologies Gli analizzatori di reti della serie-x Agilent Technologies Gli analizzatori di reti della serie-x Luigi Fratini 1 Introducing the PNA-X Performance Network Analyzer For Active Device Test 500 GHz & beyond! 325 GHz 110 GHz 67 GHz 50 GHz 43.5

More information

Fully integrated UHF RFID mobile reader with power amplifiers using System-in-Package (SiP)

Fully integrated UHF RFID mobile reader with power amplifiers using System-in-Package (SiP) Fully integrated UHF RFID mobile reader with power amplifiers using System-in-Package (SiP) Hyemin Yang 1, Jongmoon Kim 2, Franklin Bien 3, and Jongsoo Lee 1a) 1 School of Information and Communications,

More information

Low Cost Transmitter For A Repeater

Low Cost Transmitter For A Repeater Low Cost Transmitter For A Repeater 1 Desh Raj Yumnam, 2 R.Bhakkiyalakshmi, 1 PG Student, Dept of Electronics &Communication (VLSI), SRM Chennai, 2 Asst. Prof, SRM Chennai, Abstract - There has been dramatically

More information

A new generation Cartesian loop transmitter for fl exible radio solutions

A new generation Cartesian loop transmitter for fl exible radio solutions Electronics Technical A new generation Cartesian loop transmitter for fl exible radio solutions by C.N. Wilson and J.M. Gibbins, Applied Technology, UK The concept software defined radio (SDR) is much

More information

2015 The MathWorks, Inc. 1

2015 The MathWorks, Inc. 1 2015 The MathWorks, Inc. 1 What s Behind 5G Wireless Communications? 서기환과장 2015 The MathWorks, Inc. 2 Agenda 5G goals and requirements Modeling and simulating key 5G technologies Release 15: Enhanced Mobile

More information

Keywords: GPS, receiver, GPS receiver, MAX2769, 2769, 1575MHz, Integrated GPS Receiver, Global Positioning System

Keywords: GPS, receiver, GPS receiver, MAX2769, 2769, 1575MHz, Integrated GPS Receiver, Global Positioning System Maxim > Design Support > Technical Documents > User Guides > APP 3910 Keywords: GPS, receiver, GPS receiver, MAX2769, 2769, 1575MHz, Integrated GPS Receiver, Global Positioning System USER GUIDE 3910 User's

More information

TIMA Lab. Research Reports

TIMA Lab. Research Reports ISSN 292-862 TIMA Lab. Research Reports TIMA Laboratory, 46 avenue Félix Viallet, 38 Grenoble France ON-CHIP TESTING OF LINEAR TIME INVARIANT SYSTEMS USING MAXIMUM-LENGTH SEQUENCES Libor Rufer, Emmanuel

More information

An Introduction to Mixed-Signal IC Test and Measurement

An Introduction to Mixed-Signal IC Test and Measurement An Introduction to Mixed-Signal IC Test and Measurement Mark Burns Texas Instruments, Incorporated Gordon W. Roberts McGill University New York Oxford OXFORD UNIVERSITY PRESS 2001 PREFACE xvii Chapter

More information

Analog I/O. ECE 153B Sensor & Peripheral Interface Design Winter 2016

Analog I/O. ECE 153B Sensor & Peripheral Interface Design Winter 2016 Analog I/O ECE 153B Sensor & Peripheral Interface Design Introduction Anytime we need to monitor or control analog signals with a digital system, we require analogto-digital (ADC) and digital-to-analog

More information

Design of a Low Power Current Steering Digital to Analog Converter in CMOS

Design of a Low Power Current Steering Digital to Analog Converter in CMOS Design of a Low Power Current Steering Digital to Analog Converter in CMOS Ranjan Kumar Mahapatro M. Tech, Dept. of ECE Centurion University of Technology & Management Paralakhemundi, India Sandipan Pine

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

Low distortion signal generator based on direct digital synthesis for ADC characterization

Low distortion signal generator based on direct digital synthesis for ADC characterization ACTA IMEKO July 2012, Volume 1, Number 1, 59 64 www.imeko.org Low distortion signal generator based on direct digital synthesis for ADC characterization Walter F. Adad, Ricardo J. Iuzzolino Instituto Nacional

More information

SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER

SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER ABSTRACT Vaishali Dhare 1 and Usha Mehta 2 1 Assistant Professor, Institute of Technology, Nirma University, Ahmedabad

More information

ELT Radio Architectures and Signal Processing. Motivation, Some Background & Scope

ELT Radio Architectures and Signal Processing. Motivation, Some Background & Scope Introduction ELT-44007/Intro/1 ELT-44007 Radio Architectures and Signal Processing Motivation, Some Background & Scope Markku Renfors Department of Electronics and Communications Engineering Tampere University

More information

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS

DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS DESIGN OF MULTI-BIT DELTA-SIGMA A/D CONVERTERS by Yves Geerts Alcatel Microelectronics, Belgium Michiel Steyaert KU Leuven, Belgium and Willy Sansen KU Leuven,

More information

SHRI ANGALAMMAN COLLEGE OF ENGINEERING & TECHNOLOGY (An ISO 9001:2008 Certified Institution) SIRUGANOOR,TRICHY

SHRI ANGALAMMAN COLLEGE OF ENGINEERING & TECHNOLOGY (An ISO 9001:2008 Certified Institution) SIRUGANOOR,TRICHY SHRI ANGALAMMAN COLLEGE OF ENGINEERING & TECHNOLOGY (An ISO 9001:2008 Certified Institution) SIRUGANOOR,TRICHY-621105. DEPARTMENT OF ELECTRICAL AND ELECTRONICS ENGINEERING EI 1306-MEASUREMENT AND INSTRUMENTATION

More information

Test based on Built-In Current Sensors for Mixed-Signal Circuits

Test based on Built-In Current Sensors for Mixed-Signal Circuits Test based on Built-In Current Sensors for Mixed-Signal Circuits Román Mozuelos, Yolanda Lechuga, Mar Martínez and Salvador Bracho Microelectronic Engineeering Group, University of Cantabria, ETSIIT, Av.

More information

An Introduction to Spectrum Analyzer. An Introduction to Spectrum Analyzer

An Introduction to Spectrum Analyzer. An Introduction to Spectrum Analyzer 1 An Introduction to Spectrum Analyzer 2 Chapter 1. Introduction As a result of rapidly advancement in communication technology, all the mobile technology of applications has significantly and profoundly

More information

Application of PC Vias to Configurable RF Circuits

Application of PC Vias to Configurable RF Circuits Application of PC Vias to Configurable RF Circuits March 24, 2008 Prof. Jeyanandh Paramesh Department of Electrical and Computer Engineering Carnegie Mellon University Pittsburgh, PA 15213 Ultimate Goal:

More information

AN INVESTIGATION ON ADC TESTING USING DIGITAL MODELLING

AN INVESTIGATION ON ADC TESTING USING DIGITAL MODELLING 245 A IVESTIGATIO O ADC TESTIG USIG DIGITAL MODELLIG Leong Mun Hon, Abu Khari bin A ain Electronics Engineering Department (ISEED) Faculty of Electrical Engineering, Universiti Teknologi Malaysia 81310

More information

Direct Digital Amplification (DDX )

Direct Digital Amplification (DDX ) WHITE PAPER Direct Amplification (DDX ) Pure Sound from Source to Speaker Apogee Technology, Inc. 129 Morgan Drive, Norwood, MA 02062 voice: (781) 551-9450 fax: (781) 440-9528 Email: info@apogeeddx.com

More information

Utilizzo del Time Domain per misure EMI

Utilizzo del Time Domain per misure EMI Utilizzo del Time Domain per misure EMI Roberto Sacchi Measurement Expert Manager - Europe 7 Giugno 2017 Compliance EMI receiver requirements (CISPR 16-1-1 ) range 9 khz - 18 GHz: A normal +/- 2 db absolute

More information

AM, PM and FM mo m dula l ti t o i n

AM, PM and FM mo m dula l ti t o i n AM, PM and FM modulation What is amplitude modulation In order that a radio signal can carry audio or other information for broadcasting or for two way radio communication, it must be modulated or changed

More information

A DSP IMPLEMENTED DIGITAL FM MULTIPLEXING SYSTEM

A DSP IMPLEMENTED DIGITAL FM MULTIPLEXING SYSTEM A DSP IMPLEMENTED DIGITAL FM MULTIPLEXING SYSTEM Item Type text; Proceedings Authors Rosenthal, Glenn K. Publisher International Foundation for Telemetering Journal International Telemetering Conference

More information

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University By: K. Tripurari, C. W. Hsu, J. Kuppambatti, B. Vigraham, P.R. Kinget Columbia University For

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Approaches to On-chip Testing of Mixed Signal Macros in ASICs

Approaches to On-chip Testing of Mixed Signal Macros in ASICs Approaches to On-chip Testing of Mixed Signal Macros in ASICs Dr. R. A. Cobley, School of Engineering, University of Exeter, Exeter, EX4 4QF, UK email: RACobley@exeter.ac.uk Abstract This paper initially

More information

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski

Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Digital Logic, Algorithms, and Functions for the CEBAF Upgrade LLRF System Hai Dong, Curt Hovater, John Musson, and Tomasz Plawski Introduction: The CEBAF upgrade Low Level Radio Frequency (LLRF) control

More information

SV2C 28 Gbps, 8 Lane SerDes Tester

SV2C 28 Gbps, 8 Lane SerDes Tester SV2C 28 Gbps, 8 Lane SerDes Tester Data Sheet SV2C Personalized SerDes Tester Data Sheet Revision: 1.0 2015-03-19 Revision Revision History Date 1.0 Document release. March 19, 2015 The information in

More information

Computer Aided Design of Electronics

Computer Aided Design of Electronics Computer Aided Design of Electronics [Datorstödd Elektronikkonstruktion] Zebo Peng, Petru Eles, and Nima Aghaee Embedded Systems Laboratory IDA, Linköping University www.ida.liu.se/~tdts01 Electronic Systems

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 25.4 A 1.8V 14b 10MS/s Pipelined ADC in 0.18µm CMOS with 99dB SFDR Yun Chiu, Paul R. Gray, Borivoje Nikolic University of California, Berkeley,

More information

- 1 - Rap. UIT-R BS Rep. ITU-R BS.2004 DIGITAL BROADCASTING SYSTEMS INTENDED FOR AM BANDS

- 1 - Rap. UIT-R BS Rep. ITU-R BS.2004 DIGITAL BROADCASTING SYSTEMS INTENDED FOR AM BANDS - 1 - Rep. ITU-R BS.2004 DIGITAL BROADCASTING SYSTEMS INTENDED FOR AM BANDS (1995) 1 Introduction In the last decades, very few innovations have been brought to radiobroadcasting techniques in AM bands

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

DIGITALLY ASSISTED ANALOG: REDUCING DESIGN CONSTRAINTS USING NONLINEAR DIGITAL SIGNAL PROCESSING

DIGITALLY ASSISTED ANALOG: REDUCING DESIGN CONSTRAINTS USING NONLINEAR DIGITAL SIGNAL PROCESSING DIGITALLY ASSISTED ANALOG: REDUCING DESIGN CONSTRAINTS USING NONLINEAR DIGITAL SIGNAL PROCESSING Batruni, Roy (Optichron, Inc., Fremont, CA USA, roy.batruni@optichron.com); Ramachandran, Ravi (Optichron,

More information