Practical Fault Coverage of Supply Current Tests for Bipolar ICs

Size: px
Start display at page:

Download "Practical Fault Coverage of Supply Current Tests for Bipolar ICs"

Transcription

1 Practical Coverage Supply Current Tests for Bipolar ICs Isao Tsukimoto, Masaki Hashizume, Hiroyuki Yotsuyanagi, Takeomi Tamesada Dept. Electronic Engineering, Takuma National College Technology Dept. Electrical and Electronic Engineering, Faculty Engineering, Univ. Tokushima Abstract Bipolar logic circuits are indispensable for implementing high-speed logic circuits. Since quiescent supply current flows into the circuits without faults, they can not be ed by a conventional IDDQ method. We proposed a quiescent supply current method which is applicable for the bipolar circuit s, and examined the ability open faults under an ideal assumption that there are not any process variations. Actually, there are some variations in the quiescent supply current each gate in implemented logic circuits. Thus, It is necessary to examine the practical ability the method before applying to production s bipolar logic ICs. In this paper, the practical ability obtained under an assumption that there are some unitto-unit variations supply current among gates is examined for ISCAS- benchmark circuits. experimental results show that larger fault can be obtained with a smaller input by our supply current method than the functional one based on stuck-at fault models.. Introduction It has been shown that quiescent supply current s logic circuits are indispensable for realizing high reliable logic systems [,2,3]. Especially, I DDQ ing is very effective in CMOS logic IC s. Also, input generation algorithms for the I DDQ ing have been proposed. In a fault-free CMOS IC, very small quiescent supply current will be generated in operation. If large quiescent supply current is generated, the circuit can be determined as faulty. I DDQ ing can detect physical defects, which can not be modeled as logical faults and is effective for realizing high reliable systems. Besides CMOS circuits, bipolar circuits like TT and EC circuits are ten used now for implementing logical systems. Especially, they are used in the electronic equipments which are required a high-speed operation and used as a core in logic system. If they do not work, the generated damage will be extremely large. Thus, very high reliability is requested for bipolar circuits. Quiescent supply current unfaulty bipolar gates depends on the output logic values [3]. Thus, even if any defects do not occur in a bipolar circuit, quiescent supply current flows from the VCC terminal to the GND terminal. It means that I DDQ technique for CMOS circuits is not applicable to fault detection problems bipolar ones. In order to detect faults in bipolar circuits with their quiescent supply currents, a new fault detection method should be developed. Thus, in the past, we proposed a supply current method for bipolar circuits [3]. method is to detect faults by measuring the quiescent supply current a circuit under and comparing it with one the unfaulty circuit. Also, we proposed a fast random input generation method for the supply current s and an algorithmic one in [4] and [5], respectively. re are some variations in the quiescent supply current each gate in implemented logic circuits. Thus, we proposed a method which is applicable even if there are some variations among gates [6]. In the past, we examined practical fault the supply current method for open faults in TT combinational circuits made TT S-type SSIs on printed-circuit-board, since open faults ten occur in logic circuits fabricated with the state--art technology [7]. results show that more open faults can be detected by the supply current method than functional ones based on stack-at fault models. However, fault supply current s for a bipolar logic circuit implemented in an IC has not been examined. Most the faults in the circuits fabricated with the state--art technologies are open faults any signal line and bridging ones between any signal lines. Testing bridging faults are easier than one open faults, since extremely large supply current change will appear by exciting bridging faults. Thus, most bridging faults can be easily detected by supply current ing. On the other hand, in the case open faults, large supply current change will not always appear even if they are excited. Thus, it is very difficult to detect open faults than

2 bridging faults. Thus, in this paper, the fault our supply current method for only open faults signal lines in bipolar IC is discussed. Our supply current method is introduced in section 2. After that, our ability analysis method and the obtained results are described in section Supply current method for bipolar logic circuit Even if any defects do not occur in a bipolar logic circuit, quiescent supply current flows from the VCC terminal to the GND terminal in each gates. Thus, the quiescent supply current I CCN (T j ) an unfaulty bipolar circuit with Ns gates which flows when the j-th input vector is applied to the circuit can be defined by Eq.(), I CCQN NS ( = ICCQNi( () i= where I CCQNi (T j ) is the quiescent supply current the i-th bipolar gate flowing when T j is provided to the unfaulty circuit. Also, quiescent supply current I CCQC (T j ) a circuit under, CUT, can be defined by Eq.(2), I CCQC NS ( = ICCQCi( (2) i= where I CCQCi (T j ) is the quiescent supply current the i-th bipolar gate in the CUT flowing by applying T j. If Eq.(3) is satisfied, the CUT is determined as faulty, ICCQ( Ith (3) where I CCQ (T j ) is defined by Eq.(4) and I th is the threshold value to determine whether the CUT is faulty or not. ICCQ( = IDDQC( IDDQN( (4) When an open fault is excited by the input vector Tj, any large quiescent supply current change will not be generated in the gate having the open fault at the output signal line. However, the supply current gate whose output logic value is changed by the fault propagation changes, since quiescent supply current unfaulty bipolar gates depends on the output logic values [3]. Thus, I CCQ (T j ) appears by the supply current changes gates whose output logic values are changed by the fault propagation. A set such gates is called Sg in this paper. I CCQ (T j ) can be obtained by Eq.(5), I CCQ( = Ii( (5) i Sg where I i (T j ) is quiescent supply current change the i-th gate which is generated when T j is provided to the circuit. I i (T j ) is defined by Eq.(6), Ii IiH, the output the i - th gate is changed from H to I i ( T j ) = (6) IiH Ii, the output the i - th gate is changed from to H where I i and I ih are quiescent supply currents i-th bipolar gate when the output logic value is and when it is H, respectively. example effects generated to supply current change by open fault is shown in Figure. In TT logic circuit, open faults are modeled as stuck-at- faults under assumption positive logic. In this example, gates whose output logic values are changed are 2, 3 and 4. Thus, Sg is {2, 3, 4} and I CCQ (T j ) generated by open fault signal line a is obtained by Eq.(7). ICCQ = I ( + I ( + I ( (7) T j ( re are some variations in quiescent supply current among gates. generation process variations can be modeled as a Gaussian distribution process. Thus, we model the variation quiescent supply current at the i-th gate in unfaulty bipolar logic circuit as a Gaussian distribution N(µ Ni (T j ), σ Ni (T j ) 2 ), which is defined by Eq.(8), Pr ob( I Figure. Effects generated by open fault CCQNi ( ) = σ ( T ) Ni open j Vcc I CCQC(T j) Stuck-at- H H 2 a b 5 H e H I exp 2π 2 I CCQ(T j) 3 5 c 4 6 d Sg CCQNi 2 ( T j) µ Ni( σ ( ) Ni Tj where µ Ni (T j ) and σ Ni (T j ) 2 are the mean value and the variance quiescent supply current in the i-th gate which flows when a input vector (T j ) is provided to the unfaulty circuit, respectively. Generally, it will be assumed that the variations quiescent supply current gates in a circuit are independent each other. refore, the supply current variation the unfaulty circuit can be modeled as a (8)

3 Gaussian distribution N(µ N (T j ) +µ N2 (T j )+ +µ NNs (T j ), σ N (T j ) 2 +σ N2 (T j ) σ NNs (T j ) 2 ), whose example is shown in Figure 2. Similarly, the distribution quiescent supply current a faulty circuit can be modeled as a Gaussian distribution. If the distributions I CCQN (T j ) and I CCQC (T j ) are separated each other, it can be judged without any errors whether the circuit is faulty. However, if they are 3. Testability analysis In order to evaluate the ability our supply current method for open faults signal lines in bipolar logic ICs, input are generated for ISCAS- benchmark circuits made the standard gates, which are shown in Table and Table 2. As circuit under (CUT), C8, C8, C35 and C535 are used in our ability analysis, whose specifications are shown in Table 3. Table. Quiescent supply current unfaulty -input TT gate Input INVERTER BUFFER X Z I CCQ [ma] Z I CCQ [ma] H H 0.6 H Figure 2. Examples distributions for unfaulty circuit and faulty one overlapped as shown in Figure 2, it is impossible to be judged without any errors whether the circuit is faulty. Thus, a statistical analysis method with level significance (α) is used in our method. That is, it is determined whether the CUT is faulty by checking whether any two distributions are separated each other statistically by means α. Test input our method are generated so that the distribution quiescent supply current a faulty circuit can be separated to the unfaulty one as shown in Figure 3 by introducing α [6]. Table 2. Quiescent supply current unfaulty 2-input gate Input AND NAND OR NOR X Y Z I CCQ [ma] Z I CCQ [ma] Z I CCQ [ma] Z I CCQ [ma].055 H H H.052 H 0.29 H H.052 H 0.29 H H H H H Table 3. Circuit under CUT PIs POs Signal ines Targeted Gates s C C C C analysis process the fault s in this evaluation is shown in Figure 4. At first, the quiescent supply current value each unfaulty gate is derived by DC analysis using SPICE. results are shown in Table and Table2. value obtained from this simulation is used as µ Νι, which is the mean value quiescent supply current in each gate. In the simulations, the internal electronic circuit TT S-type is used as one each gate. Figure 3. Criterion for selecting Tj as input vector

4 Gates used σ Ni each gate Netlist CUT DC analysis each gate Test input µ Ni each gate Test generation Target faults Significance level(α) s Figure 4. Analysis process fault s After that, the distribution supply current the circuit is derived from the distribution the supply current each gate in the CUT. With the distributions the unfaulty circuit and a circuit having an open fault, it is determined whether an input vector can be selected as a vector. In the past, we proposed a random generation algorithm [4] and a deterministic one [5] for the supply current s. In our experiments, input are derived by a modified random input generation method, which is almost the same as in [6]. Test input generation process is as follows. At first, an input vector is generated by using random s, and the output logic value each gate is determined by logical fault simulation. By using the values in Table. and Table 2 as the mean value quiescent supply current each gate and specifying the variation the distribution, a Gaussian distribution is derived, whose example is as shown in Figure 3. If the distribution quiescent supply current faulty circuit can be separated to the unfaulty one by specifying α, the input vector is employed as a input vector for detecting the fault. If any open faults can not be detected by the last 50 input generated by random s, the input generation process is terminated. results are shown in Table 4. Since experimental results depend on generated random s, 0 experiments per the variance supply current gates have been performed. average values are denoted in Table 4. In our experiments, the level significance (α) is assumed to be 0.. Also, we assume that the variance a gate is the same as the other gates in a bipolar logic circuit. We specify the variance quiescent supply current in each gate as the percentage to the nominal quiescent supply current each unfaulty gate. Table 4. Experimental results C8 C8 C35 Functional Testing σ/µ Supply Current Testing C535

5 obtained fault s C8 are plotted in Figure 5. As shown in Figure 5, the depends on the variation supply current. fault functional ing for C8 is 96.3 and the is.2. As shown in Figure 5, larger fault can be obtained with a smaller by our supply current method than the functional one, if the variance supply current each gate is smaller than 0.9. obtained fault s other CUTs are plotted in from Figure 6 to Figure 8. In the case these CUTs, almost the same results can be obtained as C8. fault depends on the variation supply current like in C8. As shown in Table 4, the fault functional ing for C8, C35 and C535 is respectively 94.3, 93.3 and 98.4, and the functional ing for C8, C35 and C535 is respectively 39.5, 9.8 and.0. As shown in from Figure 6 to Figure 8, if the variance is smaller than 0.7, 0.5 and 0.3 respectively for C8, C35 and C535, higher fault will be realized than the functional ing σ/µ Faut functional ing Figure 5. Obtained results for C8 σ/µ Faut functional ing Figure 7. Obtained results for C σ/µ Faut functional ing Figure 6. Obtained results for C8 σ/µ Faut functional ing Figure 8. Obtained results for C535

6 As the the gates in CUT increases, fault will become small as shown in the figures. That is the reason why the distribution quiescent supply current in a faulty circuit overlaps strongly to the one unfaluty one. By dividing CUT into circuit blocks and measuring supply current each circuit block instead the total circuit, the distribution in the faulty circuit will be separated from the one unfaulty one. Thus, as for the s large-scale bipolar circuits, our method should be applied to each circuit block, whose size is smaller than the total circuit. If a circuit block is determined as faulty by our method, the CUT is determined as faulty by using our method. According to the methodology, large size circuits will be ed by our method with high fault regardless the extent process variation. [5]T.Kuchii,M.Hashizume et.al : "Algorithmic Test Generation for Supply Current Testing TT Combination Circuits", Proc. od the IEEE Fifth Asian Test Symp., pp7-76(996) [6]M.Hashizume. et al : "Supply Current Test for Unit-to-Unit Variation Electrical Caracteristics in Gates", Proc. the IEEE Sixth Asian Test Symp., pp (997) [7]Y.Mushiaki, M.Hashizume, et al: "Practical Coverage Supply Current Testing for Open s in TT Combinational Circuits", Proc. ITC-CSCC'00, pp (2000) 4. Conclusion In this paper, fault our quiescent supply current method is examined for bipolar logic circuits implemented inside ICs. In this examination, it is assumed that there are some variations electrical characteristics in bipolar circuits. experimental results show that even if there are process variations, larger fault open faults can be obtained by our supply current method than functional one. Supply current is time-consuming. Thus, all faults should not be detected by the supply current method. On the other hand, faulty effects generated by fault excitation need not to be propagated to any primary output terminals in the supply current s. Thus, it is easy for input the supply current method to be generated. Hence, only the faults which are difficult to be detected by functional s based on stuck-at fault models should be detected by the supply current method. It is a future work to examine the ability for the faults which are difficult to be detected by the functional method. References []S.D.McEuen : "IDDq benefits",proc. IEEE VSI Test Symp., pp.2-2(99) [2]R.R.Fritzemeier, J.M.Soden, K.R.Treece and C.F.Hawkins : "Increased CMOS IC Stuck-at fault Coverage with Reduced IDDQ Test Sets", Proc. Int. Test Conf.,pp (9). [3]M.Hasizume et al.:" Detection Combinational Circuits Based on Supply Current ", Proc. Int. Test Conf., pp374-3(998). [4]M.Hashizume et.al : "Test Input Vectors for Supply Current Testing TT Combinational Circuits", Proc. the First IEEE Asian Test Symp., pp58 69(992)

Generation of Digital System Test Patterns Based on VHDL Simulations

Generation of Digital System Test Patterns Based on VHDL Simulations POSTER 2006, PRAGUE MAY 18 1 Generation of Digital System Test Patterns Based on VHDL Simulations Miljana SOKOLOVIĆ 1, Andy KUIPER 2 1 LEDA laboratory, aculty of Electronic Engineering, University of Niš,

More information

Accurate Fault Modeling and Fault Simulation of Resistive Bridges

Accurate Fault Modeling and Fault Simulation of Resistive Bridges Accurate Fault Modeling and Fault Simulation of Resistive Bridges Vijay Sar-Dessai D. M. H. Walker Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University Texas A&M University College

More information

A Clustering Method for i DDT -Based Testing

A Clustering Method for i DDT -Based Testing A Clustering Method for i DDT -Based Testing Ali Chehab ECE Department American University of Beirut P.O.Box 11-0236 Beirut, Lebanon chehab@aub.edu.lb Rafic Makki and Saurabh Patel ECE Department University

More information

I DDQ Current Testing

I DDQ Current Testing I DDQ Current Testing Motivation Early 99 s Fabrication Line had 5 to defects per million (dpm) chips IBM wanted to get 3.4 defects per million (dpm) chips Conventional way to reduce defects: Increasing

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Design for Testability & Design for Debug

Design for Testability & Design for Debug EE-382M VLSI II Design for Testability & Design for Debug Bob Molyneaux Mark McDermott Anil Sabbavarapu EE 382M Class Notes Foil # 1 The University of Texas at Austin Agenda Why test? Scan: What is it?

More information

A. B. M. H. Rashid * Dhaka Bangladesh. 1000, Bangladesh. Dr. A.B.M. Harun-ur Rashid. Associate Professor

A. B. M. H. Rashid * Dhaka Bangladesh. 1000, Bangladesh.   Dr. A.B.M. Harun-ur Rashid. Associate Professor Title: Fault Characterization, Testability Issue and Design for Testability of Complementary Pass Transistor Logic Circuits Authors: Mohammad Faisal * Abdul Hasib + A. B. M. H. Rashid * * Affiliation:

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002 Overview ECE 3: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic and Fault Modeling Motivation Logic Modeling Model types Models at different levels of abstractions Models and definitions Fault Modeling

More information

Propagation Delay Analysis of a Soft Open Defect inside a TSV

Propagation Delay Analysis of a Soft Open Defect inside a TSV Kondo et al.: Propagation Delay Analysis (1/8) [Short Note] Propagation Delay Analysis of a Soft Open Defect inside a TSV Shohei Kondo, Hiroyuki Yotsuyanagi, and Masaki Hashizume Institute of Technology

More information

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit I J C T A, 9(15), 2016, pp. 7465-7470 International Science Press Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit B. Gobinath* and B. Viswanathan** ABSTRACT

More information

Fast Statistical Timing Analysis By Probabilistic Event Propagation

Fast Statistical Timing Analysis By Probabilistic Event Propagation Fast Statistical Timing Analysis By Probabilistic Event Propagation Jing-Jia Liou, Kwang-Ting Cheng, Sandip Kundu, and Angela Krstić Electrical and Computer Engineering Department, University of California,

More information

Time- and Frequency-Domain Transient Signal Analysis for Defect Detection in CMOS Digital IC s

Time- and Frequency-Domain Transient Signal Analysis for Defect Detection in CMOS Digital IC s 1390 IEEE TRANSACTIONS ONCIRCUITS AND SYSTEMS I: FUNDAMENTAL THEORY AND APPLICATIONS, VOL. 46, NO. 11, NOVEMBER 1999 [17] F. Doorenbosch, A monolithically integrated wide tuneable sine oscillator, Ph.D.

More information

[9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings

[9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings [9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings of International Test Conference, pages 795{801. IEEE, 1989. [10] Kuen-Jong Lee and Melvin A Breuer. Constraints

More information

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies A High Performance IDDQ Testable Cache for Scaled CMOS Technologies Swarup Bhunia, Hai Li and Kaushik Roy Purdue University, 1285 EE Building, West Lafayette, IN 4796 {bhunias, hl, kaushik}@ecn.purdue.edu

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method Ms. Harshal Meharkure 1, Mr. Swapnil Gourkar 2 1 Lecturer,

More information

Path Delay Test Compaction with Process Variation Tolerance

Path Delay Test Compaction with Process Variation Tolerance 50.1 Path Delay Test Compaction with Process Variation Tolerance Seiji Kajihara Masayasu Fukunaga Xiaoqing Wen Kyushu Institute of Technology 680-4 Kawazu, Iizuka, 820-8502 Japan e-mail:{kajihara, fukunaga,

More information

February IEEE, VI:20{32, 1985.

February IEEE, VI:20{32, 1985. Acknowledgements The authors thank Joel Ferguson, J. Alicia Grice, Alvin Jee, Haluk Konuk, Rich McGowen, and Carl Roth for technical contributions. This work was supported by the Semiconductor Research

More information

THE I DDQ testing [1] finds defects not detectable by voltage

THE I DDQ testing [1] finds defects not detectable by voltage IEEE TRANSACTIONS ON VLSI SYSTEMS 1 Graphical I DDQ Signatures Reduce Defect Level and Yield Loss Lan Rao, Member, IEEE, Michael L. Bushnell Senior Member, IEEE, and Vishwani D. Agrawal Fellow, IEEE Abstract

More information

4-bit counter circa bit counter circa 1990

4-bit counter circa bit counter circa 1990 Digital Logic 4-bit counter circa 1960 8-bit counter circa 1990 Logic gates Operates on logical values (TRUE = 1, FALSE = 0) NOT AND OR XOR 0-1 1-0 0 0 0 1 0 0 0 1 0 1 1 1 0 0 0 1 0 1 0 1 1 1 1 1 0 0 0

More information

Keerthi Heragu Michael L. Bushnell Vishwani D. Agrawal. Dept. of Electrical & Computer Eng. Dept. of Electrical & Computer Eng.

Keerthi Heragu Michael L. Bushnell Vishwani D. Agrawal. Dept. of Electrical & Computer Eng. Dept. of Electrical & Computer Eng. An Ecient Path Delay Fault Coverage Estimator Keerthi Heragu Michael L. Bushnell Vishwani D. Agrawal Dept. of Electrical & Computer Eng. Dept. of Electrical & Computer Eng. AT&T Bell Labs Rutgers University

More information

Testing scheme for IC's clocks. DEIS - University of Bologna. Viale Risorgimento, 2. treated as a side eect. In fact, it is easy to

Testing scheme for IC's clocks. DEIS - University of Bologna. Viale Risorgimento, 2. treated as a side eect. In fact, it is easy to Testing scheme for IC's clocks ichele Favalli and Cecilia etra DEIS - University of Bologna Viale Risorgimento, 2 40136 Bologna, Italy Abstract This paper proposes a testing scheme to detect abnormal skews

More information

Abstract. 1 Introduction

Abstract. 1 Introduction Variable Input Delay CMOS Logic for Low Power Design Tezaswi Raja Vishwani D. Agrawal Michael L. Bushnell Transmeta Corp. Auburn University, Dept. of ECE Rutgers University, Dept. of ECE Santa Clara, CA

More information

EFFECTING POWER CONSUMPTION REDUCTION IN DIGITAL CMOS CIRCUITS BY A HYBRID LOGIC SYNTHESIS TECHNIQUE

EFFECTING POWER CONSUMPTION REDUCTION IN DIGITAL CMOS CIRCUITS BY A HYBRID LOGIC SYNTHESIS TECHNIQUE EFFECTING POWER CONSUMPTION REDUCTION IN DIGITAL CMOS CIRCUITS BY A HYBRID LOGIC SYNTHESIS TECHNIQUE PBALASUBRAMANIAN Dr RCHINNADURAI MRLAKSHMI NARAYANA Department of Electronics and Communication Engineering

More information

Modeling of Power Supply Transients for EMI Compliance in Digital Systems

Modeling of Power Supply Transients for EMI Compliance in Digital Systems Modeling of Power Supply Transients for EMI Compliance in Digital Systems M. Rodriguez-Irago 1, D. Barros Júnior 2, F. Vargas 2, M. B. Santos 1, I.C Teixeira 1, J. P. Teixeira 1 1 IST / INESC-ID Lisboa,

More information

Finding Best Voltage and Frequency to Shorten Power-Constrained Test Time

Finding Best Voltage and Frequency to Shorten Power-Constrained Test Time 2013 31st IEEE VLSI Test Symposium (VTS) Finding Best Voltage and Frequency to Shorten Power-Constrained Test Time Praveen Venkataramani, Suraj Sindia and Vishwani D. Agrawal Department of Electrical and

More information

Note that none of the above MAY be a VALID ANSWER.

Note that none of the above MAY be a VALID ANSWER. ECE 270 Learning Outcome 1-1 - Practice Exam / Solution LEARNING OUTCOME #1: an ability to analyze and design CMOS logic gates. Multiple Choice select the single most appropriate response for each question.

More information

Nonuniform multi level crossing for signal reconstruction

Nonuniform multi level crossing for signal reconstruction 6 Nonuniform multi level crossing for signal reconstruction 6.1 Introduction In recent years, there has been considerable interest in level crossing algorithms for sampling continuous time signals. Driven

More information

Wafer Signature Analysis of I DDQ Test Data

Wafer Signature Analysis of I DDQ Test Data Wafer Signature Analysis of I DDQ Test Data Sagar S. Sabade D. M. H. Walker Department of Computer Science Texas A&M University College Station, TX 77843-32 Phone: (979) 862-4387 Fax: (979) 847-8578 E-mail:

More information

Analyzing Reconvergent Fanouts in Gate Delay Fault Simulation

Analyzing Reconvergent Fanouts in Gate Delay Fault Simulation Analyzing Reconvergent Fanouts in Gate Delay Fault Simulation Hillary Grimes and Vishwani D. Agrawal Dept. of ECE, Auburn University Auburn, AL 36849 grimehh@auburn.edu, vagrawal@eng.auburn.edu Abstract

More information

SOPRANO: AN EFFICIENT AUTOMATIC TEST PATTERN GENERATOR

SOPRANO: AN EFFICIENT AUTOMATIC TEST PATTERN GENERATOR SOPRANO: AN EFFICIENT AUTOMATIC TEST PATTERN GENERATOR FOR STUCK-OPEN FAULTS IN CMOS COMBINATIONAL CIRCUITS Hyung Ki Lee and Dong Sam Ha De part m e nt of E I ect r i ca I En g i nee r i n g Virginia Polytechnic

More information

Place answers on the supplied BUBBLE SHEET only nothing written here will be graded.

Place answers on the supplied BUBBLE SHEET only nothing written here will be graded. ECE 270 Learning Outcome 1-1 - Practice Exam B OUTCOME #1: an ability to analyze and design CMOS logic gates. Multiple Choice select the single most appropriate response for each question. Note that none

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects International Journal of Scientific and Research Publications, Volume 3, Issue 9, September 2013 1 A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip

More information

In this experiment you will study the characteristics of a CMOS NAND gate.

In this experiment you will study the characteristics of a CMOS NAND gate. Introduction Be sure to print a copy of Experiment #12 and bring it with you to lab. There will not be any experiment copies available in the lab. Also bring graph paper (cm cm is best). Purpose In this

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Project Presentations

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Project Presentations EE241 - Spring 2000 Advanced Digital Integrated Circuits Lecture 28 Memory Project Presentations 293 Cory Tuesday, May 2, 2-4pm o Murmann, Baytekin o Borinski, Dogan, Markow o Smilkstein, Wong o Zanella,

More information

SINGLE CYCLE TREE 64 BIT BINARY COMPARATOR WITH CONSTANT DELAY LOGIC

SINGLE CYCLE TREE 64 BIT BINARY COMPARATOR WITH CONSTANT DELAY LOGIC SINGLE CYCLE TREE 64 BIT BINARY COMPARATOR WITH CONSTANT DELAY LOGIC 1 LAVANYA.D, 2 MANIKANDAN.T, Dept. of Electronics and communication Engineering PGP college of Engineering and Techonology, Namakkal,

More information

4-bit counter circa bit counter circa 1990

4-bit counter circa bit counter circa 1990 Digital Logic 4-bit counter circa 1960 8-bit counter circa 1990 Logic gates Operates on logical values (TRUE = 1, FALSE = 0) NOT AND OR XOR 0-1 1-0 0 0 0 1 0 0 0 1 0 1 1 1 0 0 0 1 0 1 0 1 1 1 1 1 0 0 0

More information

Separation and Extraction of Short-Circuit Power Consumption in Digital CMOS VLSI Circuits

Separation and Extraction of Short-Circuit Power Consumption in Digital CMOS VLSI Circuits Separation and Extraction of Short-Circuit Power Consumption in Digital CMOS VLSI Circuits Atila Alvandpour, Per Larsson-Edefors, and Christer Svensson Div of Electronic Devices, Dept of Physics, Linköping

More information

Defect-Oriented Test Methodology for Complex Mixed-Signal Circuits

Defect-Oriented Test Methodology for Complex Mixed-Signal Circuits Defect-Oriented Test Methodology for Complex Mixed-Signal Circuits F.C.M. Kuijstermans A.P. Thijssen M. Sachdev Delft University of Technology, Faculty of Electrical Engineering, P.O.Box 5031, 20 GA Delft,

More information

A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing

A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing LARISSA SOARES Federal University of Paraíba Department of Electrical Engineering Cidade Universitária, n/n João Pessoa BRAZIL

More information

Testing a CMOS operational amplifier circuit using a combination of oscillation and IDDQ test methods

Testing a CMOS operational amplifier circuit using a combination of oscillation and IDDQ test methods Louisiana State University LSU Digital Commons LSU Master's Theses Graduate School 2004 Testing a CMOS operational amplifier circuit using a combination of oscillation and IDDQ test methods Pavan K. Alli

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

Resistive Bridge Fault Modeling, Simulation and Test Generation 1

Resistive Bridge Fault Modeling, Simulation and Test Generation 1 Resistive Bridge Fault Modeling, Simulation and Test Generation Vijay R. Sar-Dessai Intel orporation, FM5-64 900 rairie ity Road Folsom A 95630 Tel: (96) 356-759 Fax: (96) (96) 377-300 Email: vijay.sar-dessai@intel.com

More information

SOPRANO: AN EFFICIENT AUTOMATIC TEST PATTERN GENERATOR FOR STUCK-OPEN FAULTS IN CMOS COMBINATIONAL CIRCUITS

SOPRANO: AN EFFICIENT AUTOMATIC TEST PATTERN GENERATOR FOR STUCK-OPEN FAULTS IN CMOS COMBINATIONAL CIRCUITS SOPRANO: AN EFFICIENT AUTOMATIC TEST PATTERN GENERATOR FOR STUCK-OPEN FAULTS IN CMOS COMBINATIONAL CIRCUITS Hyung Ki Lee and Dong Sam Ha Department of Eiectrical Engineering Virginia Polytechnic Institute

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 15, NO. 11, NOVEMBER 2007 1245 Graphical IDDQ Signatures Reduce Defect Level and Yield Loss Lan Rao, Member, IEEE, Michael L. Bushnell,

More information

A Novel Test Path Selection Based on Switching Activity and Its BIST Implementation

A Novel Test Path Selection Based on Switching Activity and Its BIST Implementation A Novel Test Path Selection Based on Switching Activity and Its BIST Implementation P.Pattunarajam 1, V.Srividhya 2, Dr.Reeba Korah 3 1 Research Scholar, Dept. of ECE, Anna University, Chennai 2 PG Student,

More information

An Efficient Automatic Test Pattern Generator for

An Efficient Automatic Test Pattern Generator for VLSI Design 1994, Vol. 2, No. 3, pp. 199-207 Reprints available directly from the publisher Photocopying permitted by license only (C) 1994 Gordon and Breach Science Publishers S.A. Printed in the United

More information

IN NANOSCALE CMOS devices, the random variations in

IN NANOSCALE CMOS devices, the random variations in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 9, SEPTEMBER 2005 1787 Estimation of Delay Variations due to Random-Dopant Fluctuations in Nanoscale CMOS Circuits Hamid Mahmoodi, Student Member, IEEE,

More information

Chapter 6 DIFFERENT TYPES OF LOGIC GATES

Chapter 6 DIFFERENT TYPES OF LOGIC GATES Chapter 6 DIFFERENT TYPES OF LOGIC GATES Lesson 9 CMOS gates Ch06L9-"Digital Principles and Design", Raj Kamal, Pearson Education, 2006 2 Outline CMOS (n-channel based MOSFETs based circuit) CMOS Features

More information

College of Engineering

College of Engineering WiFi and WCDMA Network Design Robert Akl, D.Sc. College of Engineering Department of Computer Science and Engineering Outline WiFi Access point selection Traffic balancing Multi-Cell WCDMA with Multiple

More information

Iddq Testing for CMOS VLSI

Iddq Testing for CMOS VLSI Iddq Testing for CMOS VLSI Rochit Rajsuman, SENIOR MEMBER, IEEE It is little more than 15-years since the idea of Iddq testing was first proposed. Many semiconductor companies now consider Iddq testing

More information

Very Low Voltage Testing of SOI Integrated Circuits

Very Low Voltage Testing of SOI Integrated Circuits Very Low Voltage Testing of SOI Integrated Circuits Eric MacDonald Nur A.Touba IBM Microelectronics Division Computer Engineering Research Center 114 Burnet Road Dept. of Electrical and Computer Engineering

More information

The Simulated Location Accuracy of Integrated CCGA for TDOA Radio Spectrum Monitoring System in NLOS Environment

The Simulated Location Accuracy of Integrated CCGA for TDOA Radio Spectrum Monitoring System in NLOS Environment The Simulated Location Accuracy of Integrated CCGA for TDOA Radio Spectrum Monitoring System in NLOS Environment ao-tang Chang 1, Hsu-Chih Cheng 2 and Chi-Lin Wu 3 1 Department of Information Technology,

More information

Basic digital logic functions and gates

Basic digital logic functions and gates Basic digital logic functions and gates Digital logic functions and gates are the main blocks behind digital logic design. s and 1s combine to produce values that are generated by basic gates such as NOT,

More information

A Transistor-Level Stochastic Approach for Evaluating the Reliability of Digital Nanometric CMOS Circuits

A Transistor-Level Stochastic Approach for Evaluating the Reliability of Digital Nanometric CMOS Circuits A Transistor-Level Stochastic Approach for Evaluating the Reliability of Digital Nanometric CMOS Circuits Hao Chen ECE Department University of Alberta Edmonton, Canada hc5@ualberta.ca Jie Han ECE Department

More information

Improved DFT for Testing Power Switches

Improved DFT for Testing Power Switches Improved DFT for Testing Power Switches Saqib Khursheed, Sheng Yang, Bashir M. Al-Hashimi, Xiaoyu Huang School of Electronics and Computer Science University of Southampton, UK. Email: {ssk, sy8r, bmah,

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

University of Southampton Research Repository eprints Soton

University of Southampton Research Repository eprints Soton University of Southampton Research Repository eprints Soton Copyright and Moral Rights for this thesis are retained by the author and/or other copyright owners. A copy can be downloaded for personal non-commercial

More information

Logic Families. Describes Process used to implement devices Input and output structure of the device. Four general categories.

Logic Families. Describes Process used to implement devices Input and output structure of the device. Four general categories. Logic Families Characterizing Digital ICs Digital ICs characterized several ways Circuit Complexity Gives measure of number of transistors or gates Within single package Four general categories SSI - Small

More information

Testing Digital Systems II. Problem: Fault Diagnosis

Testing Digital Systems II. Problem: Fault Diagnosis Testing Digital Systems II Lecture : Logic Diagnosis Instructor: M. Tahoori Copyright 26, M. Tahoori TDSII: Lecture Problem: Fault Diagnosis test patterns Circuit Under Diagnosis (CUD) expected response

More information

Noise Adaptive and Similarity Based Switching Median Filter for Salt & Pepper Noise

Noise Adaptive and Similarity Based Switching Median Filter for Salt & Pepper Noise 51 Noise Adaptive and Similarity Based Switching Median Filter for Salt & Pepper Noise F. Katircioglu Abstract Works have been conducted recently to remove high intensity salt & pepper noise by virtue

More information

Impact of Leakage on IC Testing?

Impact of Leakage on IC Testing? Deep Sub-micron Test: High Leakage Current and Its Impact on Test; Cross-talk Noise Kaushik Roy Electrical & Computer Engineering Purdue University Impact of Leakage on IC Testing? Our Focus Higher intrinsic

More information

VLSI Testing. Yield Analysis & Fault Modeling. Virendra Singh Indian Institute of Science Bangalore

VLSI Testing. Yield Analysis & Fault Modeling. Virendra Singh Indian Institute of Science Bangalore VLSI Testing Yield Analysis & Fault Modeling Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 2 VLSI Chip Yield A manufacturing

More information

EECS 579 Fall What is Testing?

EECS 579 Fall What is Testing? EECS 579 Fall 2001 Recap Text (new): Essentials of Electronic Testing by M. Bushnell & V. Agrawal, Kluwer, Boston, 2000. Class Home Page: http://www.eecs.umich.edu/courses/eecs579 Lecture notes and other

More information

Oscillation Test Methodology for Built-In Analog Circuits

Oscillation Test Methodology for Built-In Analog Circuits Oscillation Test Methodology for Built-In Analog Circuits Ms. Sankari.M.S and Mr.P.SathishKumar Department of ECE, Amrita School of Engineering, Bangalore, India Abstract This article aims to describe

More information

Quantitative Analysis of Very-Low-Voltage Testing

Quantitative Analysis of Very-Low-Voltage Testing Quantitative nalysis of Very-Low-Voltage Testing Jonathan T.-Y. Chang and Edward J. McCluskey Center for Reliable Computing Stanford University, Stanford, C bstract Some weak static CMOS chips can be detected

More information

Automated FSM Error Correction for Single Event Upsets

Automated FSM Error Correction for Single Event Upsets Automated FSM Error Correction for Single Event Upsets Nand Kumar and Darren Zacher Mentor Graphics Corporation nand_kumar{darren_zacher}@mentor.com Abstract This paper presents a technique for automatic

More information

Testing Priority Address Encoder Faults of Content Addressable Memories

Testing Priority Address Encoder Faults of Content Addressable Memories Testing Priority Address Encoder Faults of Content Addressable emories Jin-Fu Li Advanced Reliable Systems (ARES) Laboratory Department of Electrical Engineering National Central University Jungli, Taiwan,

More information

Adaptive Waveforms for Target Class Discrimination

Adaptive Waveforms for Target Class Discrimination Adaptive Waveforms for Target Class Discrimination Jun Hyeong Bae and Nathan A. Goodman Department of Electrical and Computer Engineering University of Arizona 3 E. Speedway Blvd, Tucson, Arizona 857 dolbit@email.arizona.edu;

More information

Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique

Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique International OPEN ACCESS Journal Of Modern Engineering Research (IJMER) Reduction Of Leakage Current And Power In CMOS Circuits Using Stack Technique Mansi Gangele 1, K.Pitambar Patra 2 *(Department Of

More information

74AC20M DUAL 4-INPUT NAND GATE

74AC20M DUAL 4-INPUT NAND GATE DUAL 4-INPUT NAND GATE HIGH SPEED: t PD = 4 ns (TYP.) at V CC =5V LOW POWER DISSIPATION: I CC =4µA (MAX.) at T A =25 o C HIGH NOISE IMMUNITY: V NIH =V NIL = 28% V CC (MIN.) 50Ω TRANSMISSION LINE DRIVING

More information

Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates

Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates Lecture Summary Module 1 Switching Algebra and CMOS Logic Gates Learning Outcome: an ability to analyze and design CMOS logic gates Learning Objectives: 1-1. convert numbers from one base (radix) to another:

More information

CML Current mode full adders for 2.5-V power supply

CML Current mode full adders for 2.5-V power supply CML Current full adders for 2.5-V power supply. Kazeminejad, K. Navi and D. Etiemble. LI - U 410 CNS at 490, Université Paris Sud 91405 Orsay Cedex, France bstract We present the basic structure and performance

More information

Hedonic Coalition Formation for Distributed Task Allocation among Wireless Agents

Hedonic Coalition Formation for Distributed Task Allocation among Wireless Agents Hedonic Coalition Formation for Distributed Task Allocation among Wireless Agents Walid Saad, Zhu Han, Tamer Basar, Me rouane Debbah, and Are Hjørungnes. IEEE TRANSACTIONS ON MOBILE COMPUTING, VOL. 10,

More information

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers Muhammad Nummer and Manoj Sachdev University of Waterloo, Ontario, Canada mnummer@vlsi.uwaterloo.ca, msachdev@ece.uwaterloo.ca

More information

Symbolic Simulation of the Propagation and Filtering of Transient Faulty Pulses

Symbolic Simulation of the Propagation and Filtering of Transient Faulty Pulses Workshop on System Effects of Logic Soft Errors, Urbana Champion, IL, pril 5, 25 Symbolic Simulation of the Propagation and Filtering of Transient Faulty Pulses in Zhang and Michael Orshansky ECE Department,

More information

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Seyab Khan Said Hamdioui Abstract Bias Temperature Instability (BTI) and parameter variations are threats to reliability

More information

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs

A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs A Digital Clock Multiplier for Globally Asynchronous Locally Synchronous Designs Thomas Olsson, Peter Nilsson, and Mats Torkelson. Dept of Applied Electronics, Lund University. P.O. Box 118, SE-22100,

More information

Compact Antenna Arrangement for MIMO Sensor in Indoor Environment

Compact Antenna Arrangement for MIMO Sensor in Indoor Environment IEICE TRANS. COMMUN., VOL.E96 B, NO.10 OCTOBER 2013 2491 PAPER Special Section on Recent Progress in Antennas and Propagation in Conjunction with Main Topics of ISAP2012 Compact Antenna Arrangement for

More information

PO74G139A. Pin Configuration. Logic Block Diagram. Pin Description. 74 Series Noise Cancellation GHz Logic FEATURES: DESCRIPTION:

PO74G139A. Pin Configuration. Logic Block Diagram. Pin Description. 74 Series Noise Cancellation GHz Logic FEATURES: DESCRIPTION: FEATURES:. Patented technology. Operating frequency up to 1.125GHz with 2pf load. Operating frequency up to 800MHz with 5pf load. Operating frequency up to 350MHz with 15pf load. VCC Operates from 1.65V

More information

Design of Gates in Multiple Valued Logic

Design of Gates in Multiple Valued Logic Proc. of Int. Conf. on Recent Trends in Information, Telecommunication and Computing, ITC Design of Gates in Multiple Valued Logic Shweta Hajare 1, P.K.Dakhole 2 and Manisha Khorgade 3 1 Yashwantrao Chavan

More information

AN INVESTIGATION ON ADC TESTING USING DIGITAL MODELLING

AN INVESTIGATION ON ADC TESTING USING DIGITAL MODELLING 245 A IVESTIGATIO O ADC TESTIG USIG DIGITAL MODELLIG Leong Mun Hon, Abu Khari bin A ain Electronics Engineering Department (ISEED) Faculty of Electrical Engineering, Universiti Teknologi Malaysia 81310

More information

induced Aging g Co-optimization for Digital ICs

induced Aging g Co-optimization for Digital ICs International Workshop on Emerging g Circuits and Systems (2009) Leakage power and NBTI- induced Aging g Co-optimization for Digital ICs Yu Wang Assistant Prof. E.E. Dept, Tsinghua University, China On-going

More information

A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design

A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design 1 B. Dilli Kumar, 2 A. Chandra Babu, 2 V. Prasad 1 Assistant Professor, Dept. of ECE, Yoganada Institute of Technology & Science,

More information

Introduction. Timing Verification

Introduction. Timing Verification Timing Verification Sungho Kang Yonsei University YONSEI UNIVERSITY Outline Introduction Timing Simulation Static Timing Verification PITA Conclusion 2 1 Introduction Introduction Variations in component

More information

Gate-Level Timing Verification Using Waveform Narrowing

Gate-Level Timing Verification Using Waveform Narrowing Gate-Level Timing Verification Using Waveform Narrowing Eduard Cerny, Jindrich Zejda Dép. IRO, Université de Montréal, C.P. 618, Succ. Centre-Ville Montréal (Québec), H3C 3J7 Canada Abstract We present

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

On Built-In Self-Test for Adders

On Built-In Self-Test for Adders On Built-In Self-Test for s Mary D. Pulukuri and Charles E. Stroud Dept. of Electrical and Computer Engineering, Auburn University, Alabama Abstract - We evaluate some previously proposed test approaches

More information

VLSI, MCM, and WSI: A Design Comparison

VLSI, MCM, and WSI: A Design Comparison VLSI, MCM, and WSI: A Design Comparison EARL E. SWARTZLANDER, JR. University of Texas at Austin Three IC technologies result in different outcomes performance and cost in two case studies. The author compares

More information

Leakage Current Modeling in PD SOI Circuits

Leakage Current Modeling in PD SOI Circuits Leakage Current Modeling in PD SOI Circuits Mini Nanua David Blaauw Chanhee Oh Sun MicroSystems University of Michigan Nascentric Inc. mini.nanua@sun.com blaauw@umich.edu chanhee.oh@nascentric.com Abstract

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

Improving Amplifier Voltage Gain

Improving Amplifier Voltage Gain 15.1 Multistage ac-coupled Amplifiers 1077 TABLE 15.3 Three-Stage Amplifier Summary HAND ANALYSIS SPICE RESULTS Voltage gain 998 1010 Input signal range 92.7 V Input resistance 1 M 1M Output resistance

More information

INTEGRATED CIRCUITS. AN243 LVT (Low Voltage Technology) and ALVT (Advanced LVT)

INTEGRATED CIRCUITS. AN243 LVT (Low Voltage Technology) and ALVT (Advanced LVT) INTEGRATED CIRCUITS LVT (Low Voltage Technology) and ALVT (Advanced LVT) Author: Tinus van de Wouw January 1998 Author: Tinus van de Wouw, Philips Semiconductors, Nijmegen 1 INTRODUCTION Philips Semiconductors

More information

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Ananda S.Paymode.Dnyaneshwar K.Padol. Santosh B.Lukare. Asst. Professor, Dept. of E & TC, LGNSCOE,Nashik,UO Pune, MaharashtraIndia

More information

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies Veena S. Chakravarthi and Swaroop Ghosh Abstract Test power has emerged as an important design concern in nano-scaled

More information

Testing Digital Systems II

Testing Digital Systems II Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture Logistics

More information

Application Note AN-1125

Application Note AN-1125 Application Note AN- IRS(7,8,7) and IR(7,8,7) Comparison By Jason Nguyen, Fang, David New Table of Contents Page Introduction... Block Diagram... Electrical Characteristic Differences... Figures... Summary...

More information