A Clustering Method for i DDT -Based Testing

Size: px
Start display at page:

Download "A Clustering Method for i DDT -Based Testing"

Transcription

1 A Clustering Method for i DDT -Based Testing Ali Chehab ECE Department American University of Beirut P.O.Box Beirut, Lebanon chehab@aub.edu.lb Rafic Makki and Saurabh Patel ECE Department University of North Carolina at Charlotte Charlotte, North Carolina 28223, U.S.A makki@uncc.edu Abstract This paper presents a test method that can allow the scaling of some i DDT -based testing methods to test larger circuits. The method uses a clustering technique that organizes the gates in the circuit under test into different clusters in a way that controls the switching activity and disciplines i DDT. The individual i DDT responses can be monitored on a cluster-by-cluster basis. We describe the software implementation of the clustering technique and qualify its application on the ISCAS 85 benchmark circuits. We present fault simulation results on selected benchmark circuits as well as physical test results. 1. Introduction Current-based test methods may improve the test quality and coverage when used to augment traditional voltage-based test methods [1]. The current-based techniques include variations to the single-threshold I DDQ method [2]-[10], and include the transient or dynamic current, i DDT, test methods [11]-[19]. Some i DDT -based techniques, such as the Double Threshold technique [19] that is based on monitoring the peak value of i DDT, require a set of test vector-pairs that will attempt to activate every net in the circuit. The Double Threshold method establishes upper and lower bounds on i DDT for a good circuit in response to a fixed test set composed of a predetermined number of test vector pairs. These bounds are established for specific test pattern sets that switch circuit nodes for the purpose of detecting defects using i DDT. The defect detection capability of such a technique decreases as the circuit size increases. This is due to the fact that, as the number of switching components increases, the relative contribution of a single component to the overall i DDT pulse decreases. Thus, it would be very difficult to account for one faulty component among a sea of switching components. This is one of the principal reasons that limits the effectiveness of i DDT testing in random logic gates. However, this technique can detect defects that are difficult to detect by traditional tests [17]. Thus, it can be employed for select locations on a chip to improve detection capability, even though it may not be practical to use on the entire chip. Clearly, the test method is not intended to be a standalone test method, but its defect detection capability can be significantly enhanced by controlling the number of switching components in response to a test vector set. Indeed, such control can improve the detection capability of most i DDT test methods because the relative contribution of a single faulty component becomes greater. In other words, we need to reduce and keep almost constant the switching activity in the circuit under test as we apply the test vector-pairs. The proposed clustering method is driven by the ATPG-produced test vector pairs. The ATPG is presented in [20] and it is briefly reviewed in the next section. In section 3, we describe the clustering algorithm and its software implementation, and then in section 4 we show the clustering results when applied to the ISCAS 85 benchmark circuits. In section 5 we present the fault simulation results and show the postclustering improvement of an i DDT -based test method. In section 6 we present physical test results and finally, we conclude the paper in section Review of ATPG for i DDT -based Test The ATPG is presented in [20] and it is briefly described below for convenience. The ATPG generates a set of test vectors based on the following criteria: Each test vector consists of a pair of two vectors, the application of which will activate at least one net in the circuit. One vector will set the target-net to a logic value of 1/0 while the second vector will set the same net to a logic value of 0/1.

2 While targeting a specific net, a test vector-pair should be chosen in a way that minimizes the number of the switching gates in the circuit. This condition is important to minimize the peak value of i DDT, which will allow for the distinction between the peak i DDT values of a defective circuit and a fault-free circuit. The vector set should activate every net in the circuit to maximize the fault coverage, yet it should contain a minimum possible number of input vectors to minimize the test length. The ATPG selects a minimum set of paths covering all the nets in the circuit. Then, for every selected path, it generates a test vector-pair that sensitizes this path. The resulting vector-pair will be retained as part of the i DDT test set only if it is unique. When applied to the C432 benchmark circuit, for example, the ATPG resulted in 79 vector-pairs. 3. Clustering Methodology Controlling the switching activities of a CUT (Circuit Under Test) in response to test vectors is a key factor for the improvement of the detection capability of i DDT -based test methods. We need to minimize and keep almost constant the switching activity in the CUT as we apply the test vector-pairs. Hence, we need to: ƒ Reduce the number of gates that switch in response to test vectors ƒ Reduce the number of i DDT spikes per transition ƒ Reduce the variations of the peak i DDT values among all test vectors. One way to achieve these objectives is to organize the gates in the circuit into different clusters. The main power supply will have different branches, one per cluster. This is not to say that there will be a separate V DD supply pad for each cluster, but that each cluster receives its supply from a separate branch coming from the chip V DD supply pad. All the gates in the same cluster will share the same power supply branch. The i DDT response from each cluster can be monitored separately. When a test vector is applied to the CUT, the switching activity will be spread among the various clusters and it will impact the different clusters differently. The gates will be grouped in a way such that the maximum number of switching gates in one cluster, in response to the vector-pairs, does not exceed a specific parameterized value. Note that for every subset of gates belonging to the same cluster, there corresponds a subset of vectorpairs, among the space of the total test vectors generated by the ATPG, that will be used to test the gates in this cluster We can then monitor the individual i DDT response on a cluster-by-cluster basis. The parameterized value will be chosen depending on the resolution of the current monitoring technique. The following is a description of the proposed clustering technique. First, we apply the ATPG-generated test vectors to the defect-free circuit. For every vector-pair we record the specific nets in the circuit which switched in response to this vector pair and we store the switching information in a 2-dimensional array. For a circuit with N gates and for which the ATPG produced M vector-pairs, the dimensions of the array are MxN. Every element, E ij in the array is set to 1 if vector-pair V i switches any input in gate G j, and set to 0 otherwise. The range of subscript i is [1 to M], and the range of subscript j is [1 to N]. After running all the vector-pairs on the CUT and checking the switching gate-inputs, the array would be similar to the one shown in table 1. Table 1. Vectors and Switching-Gates Relationship G 1 G 2 G 3 G N-1 G N V V V M V M Second, we start grouping the gates into different clusters based on the criterion that the number of switching gates in a cluster, in response to any vectorpair in the corresponding subset of vector-pairs, does not exceed a preset maximum value. The pseudo-code description of the clustering algorithm is shown below: While the set of un-clustered gates is not empty Generate a new cluster, C x For every un-clustered gate, G i Compute the maximum number of switching gates for the cluster C x when G i is added to it If this maximum <= allowed maximum number Add the gate to the cluster Remove G i from the set of un-clustered gates End of for loop End of while loop

3 In order to compute the maximum number of switching gates for a cluster C x, when a gate G i is added to it, we look up the switching information from the 2- dimensional array. For every test vector-pair, we count the total number of switching gates that belong to Cx, and we select the maximum out of these numbers. A pseudo-code that describes this operation is shown below. Initialize max_switch_gates to 0 For every vector-pair, V j If the vector switches G i Initialize switch_counter to 1 For every gate, G k in C x If V j switches G k Increment switch_counter End if End for loop If switch_counter > max_switch_gates Set max_switch_gates = switch_counter End if End if End for loop Return max_switch_gates 4. Clustering Results The clustering algorithm was implemented in software using the C++ programming language. The program was first tested on the C432 circuit and the results for different parameter values of maximum switching gates per cluster are shown in Table 2. The first column indicates the maximum number of allowed switching gates per cluster. The second column shows the total number of clusters formed, and the third column shows the number of gates in every cluster. Table 2. Clustering Results on C432. SG #C Number of Gates in Each of the Various Clusters Note that the number of gates in the various clusters is not balanced. This is due to the way in which the algorithm was implemented, where gates will be added to a cluster as long as the number of switching gates in this cluster does not exceed the maximum allowed value. However, it is possible to make use of the clustering algorithm in order to obtain a more balanced set of clusters whereby all the clusters will have more or less the same number of gates. This is definitely preferable from a layout perspective and to achieve a uniform sizing for the power supply branches feeding the various clusters. One possible way to achieve this objective is to use the clustering algorithm in an iterative manner as follows: Step 1: Run the clustering algorithm based only on the constraint that the maximum number of switching gates in every cluster should not exceed a predefined value. Let N be the resulting number of clusters. This will give us an estimate of the number of gates per cluster, say M, being equal to the total number of gates divided by N. Step 2: Run the clustering algorithm with an additional constraint whereby the total number of gates in a cluster should not exceed the average value M Step 3: If the number of resulting clusters is much greater than N, increment the value of M and go to step 2. Otherwise stop. These iterations will lead to a number of clusters approximately = N, except that the clusters will be more balanced. When we applied this modified technique to the C432 circuit, we obtained a more balanced number of gates per cluster, an example of which is shown in Table 3, where the second column indicates the maximum number of allowed gates per cluster. The first and third rows in Table 3 show the unbalanced clusters, and the second and fourth rows show the balanced clusters. We can see that with the addition of a maximum of 1 cluster we can obtain better balanced clusters in terms of the number of gates per cluster. A graph illustrating the relationship between the maximum number of switching gates per cluster and the resulting number of clusters for the C432 circuit is shown in Figure 1. The graph shows that we can obtain a relatively small number of clusters without requiring a very low value of switching gates per cluster.

4 Table 3. Balanced Versus Unbalanced Clustering for C432. SG AC #C Number of Gates in Each of the Various Clusters Number of Clusters Clustering Algorithm Maximum Switching Gates Figure 1. Clustering results for C432 We have also applied the clustering technique to the ISCAS 85 C880, C3540, C5315 and C7552 circuits. We applied the technique with different numbers of switching gates and maximum number of gates per cluster. A sample of the results is shown in Table 4, where the first column identifies the ISCAS circuit number. The first two rows show how the clustering results vary for different constraints on the number of switching gates for the C880. The next two rows show the same for the C3540 and so on. 5. Clustering Assessment for i DDT -based Test In order to assess the effectiveness of the clustering technique we have applied the Double threshold i DDT testing method to the C432 and C880 benchmark circuits and we performed fault simulation with and without clustering. For the C432 circuit, the maximum number of switching gates per cluster was set to 10 resulting in 9 clusters. For the C880 circuit, the maximum number of switching gates was set to 15 resulting in 11 clusters. The fault simulation results were obtained using SPICE. The Spice models were created by utilizing parameters from the TSMC035 process. The fault models included opens and shorts. Table 4. Clustering results on ISCAS 85 circuits C SG AC #C # Gates in the Various Clusters A 1MΩ resistive open was used to model cracks, as they would insert delays in the circuit and not necessarily cause a catastrophic failure on the signal. These defects were placed on interconnects, and on transistor-level nodes within logic gates. Bridging faults were modeled with a 10Ω resistor connecting two nodes. The results show an improvement in the detection capability of the testing technique for the post-clustered circuits. These results are shown in Table 5. Table 5. Pre/Post clustering detection comparison C432 Fault Coverage C880 Fault Coverage Pre-Clustering 57.90% 30.23% Post-Clustering 92.00% 91.86% 6. Physical Test Results The clustering algorithm was applied to ISCAS 85 benchmark C432. The largest cluster was selected for implementation using the CMOS AMI 0.5-micron process. This cluster has 70 gates with a maximum of 23

5 gates switching for any of the input vectors generated by the algorithm. Two chips were fabricated containing one defect free version of the cluster and one with built-in defects. Table 6 shows the defect profile. Table 6. Summary of inserted defects Defect Defect Type and Placement 1 Pull-up drain open 2 Pull-down drain open 3 Line short (in Metal1) These defects were inserted in a way such that each would be excited by a unique vector set. Figure 2 shows the layout of the defect-free chip. All PFETs within the cluster were sized to 10λ 2λ and the NFETs were sized to 5λ 2λ.. Test Set-up For testing the fabricated chips, a Tektronix TLA720 Pattern Generator was used to supply test patterns to the circuit under test. The circuit response was monitored on a Tektronix TDS6604 Digital Storage Oscilloscope. This oscilloscope has the capability to measure signals with a sampling rate of 20G samples/sec. The chips were fabricated through MOSIS. using Ohm s law. A 0.01µF bypass capacitor was used to minimize the power supply noise. Test Results Figure 3 shows the response of both the good and faulty chips, where the fault being excited is the line short listed in Table 6. Figure 3(a) shows a voltage drop which corresponds to an i DDT of 118µA. Figure 3(b) shows a voltage drop which corresponds to an i DDT of 196µA. It should be noted that the horizontal axis of Figure 3(a) is 80ns/square and that of figure 3(b) is 200ns/square. The vertical axes in both figures are 100 mv/square. Figure 3(a). Good Circuit Response. Figure 3(b). Defective Circuit Response. Figure 2: Layout of the defect-free chip The circuit under test was mounted on a custom PCB with a large ground plane to minimize ground bounce and lower the noise levels. To measure i DDT, a 1KΩ resistor was added in series to the power line. The voltage across the resistor was used for calculating i DDT Table 7 lists the good and bad i DDT results. Column 1 lists the test vector pair and targeted defects. The up arrow indicates a rising input transition. Columns two and three show the good circuit and bad circuit i DDT responses respectively. A positive value in column four indicates that the good circuit i DDT magnitude was higher than that of the bad circuit and a negative value indicates

6 the opposite. The average difference between the good and bad circuit i DDT responses was 29%. Chip Variances Tables 8 and 9 show a summary of the process variation data obtained from two chip copies of the good circuit and two chip copies of the bad circuit respectively. It can be seen that within the same wafer, process variations can be in excess of 32%. The average variation in the good chips was 11.33%. The average variation in the defective chips was 5.83%. Table 7. Physical Testing Results Good Bad Difference i DDT i DDT (%) Vector Set and Pulse (ua) (ua) Set 1 (detects defects 1 and 3) % Set 1 (detects defects 1 and 3) % Set 2 (detects defects 2 and 3) % Set 2 (detects defects 2 and 3) % Set 3 (detects defect 3) % Set 3 (detects defect 3) % 6. Conclusions A clustering technique and its software implementation were presented, whereby the gates in a CUT are organized into different clusters such that the switching activity is reduced and the i DDT response disciplined. It was shown that this technique can be used with i DDT -based testing methods to improve their detection capability and scale up the test method to larger circuits. The results of the application of the clustering technique to the ISCAS 85 benchmark circuits were presented. We also showed the improvement of the detection capability of an i DDT test method, after clustering, when performing fault simulations on benchmark circuits. It should be noted that the clustering method is based on the availability of an on-chip sensor that can be multiplexed and used to monitor more than one cluster. Such a sensor is the subject of a utility patent [21]. 7. Acknowledgements This project was partially funded by the National Science Foundation (CCR ) and by DARPA Neo-CAD. Table 8. Defect-free i DDT Circuit Variations (PA). GOOD CHIPS iddt (uamps) VECTOR SET CHIP 1 CHIP 2 DEVIATION Rising edge of Set % Falling edge of Set % Rising edge of Set % Falling edge of Set % Rising edge of Set % Falling edge of Set % Table 9. Defective i DDT Circuit Variations in (PA). DEFECTIVE CHIPS iddt (uamps) VECTOR SET CHIP 1 CHIP 2 DEVIATION Rising edge of Set % Falling edge of Set % Rising edge of Set % Falling edge of Set % Rising edge of Set % Falling edge of Set % 8. References [1] M. Sachdev, Current-Based Testing for Deep-Submicron VLSIs, IEEE Design & Test of Computers, March-April 2001, pp [2] C. Thibeault, An Histogram Based Procedure for Current Testing of Active Defects, Int. Test Conf., 1999, pp [3] A. C. Miller, IDDQ Testing in Deep Submicron Integrated Circuits, Int. Test Conf., 1999, pp [4] K. Baker, SIA Roadmaps: Sunset Boulevard for IDDQ, Int. Test Conf., 1999, p [5] D. Bhavsar, ITC99 Panels, IEEE Design & Test, vol. 16, no. 4, Oct.-Dec. 1999, pp [6] C. F. Hawkins, J.M. Soden, Deep Submicron CMOS Current IC Testing: Is There a Future? IEEE Design & Test, vol. 16, no. 4, Oct.-Dec. 1999, pp [7] M. Sachdev, Deep Submicron IDDQ Testing: Issues and Solutions, Proc. European Design & Test Conf. (ED&TC 97), IEEE CS Press, Los Alamitos, Calif., 1997, pp [8] A. Keshavarzi, K. Roy, and C.F. Hawkins, Intrinsic Leakage in Low-Power Deep Submicron CMOS ICs, Proc.

7 Int l Test Conf. (ITC 97), IEEE Press, Piscataway, N.J., 1997, pp [9] A. Gattiker and W. Maly, Current Signatures: Applications, Proc. Int l Test Conf. (ITC 97), IEEE Press, Piscataway, N.J., 1997, pp [10] P. Maxwell et al., Current Ratios: A Self-Scaling Technique for Production IDDQ Testing, Proc. Int l Test Conf. (ITC 99), IEEE Press, Piscataway, N.J., 1999, pp [11] M. Sachdev, V. Zieren, and P. Janssen, Defect Detection with Transient Current Testing and Its Potential for Deep Submicron ICs, Proc. Int l Test Conf. (ITC 98), IEEE Press, Piscataway, N.J., 1998, pp [12] B. Kruseman, P. Janssen, and V. Zieren, Transient Current Testing of 0.25-µm CMOS Devices, Proc. Int l Test Conf. (ITC 99), IEEE Press, Piscataway, N.J., 1999, pp [13] W. Jiang, B. Vinnakota, Statistical Threshold Formulation For Dynamic I dd Test, Int. Test Conf., 1999, pp [14] B. Vinnakota, Monitoring Power Dissipation for Fault Detection, 14th VTS, pp , [15] A. Germida, Z. Yan, J. Plusquellic, F. Muradali, Defect Detection using Power Supply Transient Signal Analysis, Int. Test Conf., 1999, pp [16] J. Plusquellic, D. Chiarulli, S. Levitan. Characterization of CMOS Defects using Transient Signal Analysis, DFT, pp , November [17] R. Makki, S. Su, T. Nagle, Transient Power Supply Current Testing of Digital CMOS Circuits, ITC, 1995, pp [18] S. Su, R. Makki, T. Nagle, Transient Power Supply Current Monitoring A New Test Method for CMOS VLSI Circuits, Journal of Electronic Testing: Theory and Applications, pp , February [19] A. Chehab, R. Makki, M. Spica, D. Wu, I DDT Test Methodologies for Very Deep Sub-micron CMOS Circuits, 1 st International Workshop on Electronic Design, Test & Applications (DELTA 2000), January [20] A. Chehab, New Dynamic Power Supply Current Testing for Very Deep Submicron CMOS Circuits, Ph.D. dissertation, UNC-Charlotte, [21] D. Binkley, R. Makki, T. Weldon and A. Chehab, Methods and Apparatus for Testing Electronic Circuits, US utility patent filed co-pending U.S. Provisional Application No. 60/318,599, filed September 10, 2001.

I DDQ Current Testing

I DDQ Current Testing I DDQ Current Testing Motivation Early 99 s Fabrication Line had 5 to defects per million (dpm) chips IBM wanted to get 3.4 defects per million (dpm) chips Conventional way to reduce defects: Increasing

More information

Leakage and Process Variation Effects in Current Testing on Future CMOS Circuits

Leakage and Process Variation Effects in Current Testing on Future CMOS Circuits Defect-Oriented Testing in the Deep-Submicron Era Leakage and Process Variation Effects in Current Testing on Future CMOS Circuits Ali Keshavarzi, James W. Tschanz, Siva Narendra, and Vivek De Intel Labs

More information

Characterization of CMOS Defects using Transient Signal Analysis

Characterization of CMOS Defects using Transient Signal Analysis Characterization of CMOS Defects using Transient Signal Analysis Abstract James F. Plusquellic 1, Donald M. Chiarulli 2 and Steven P. Levitan 1 Department of CSEE, University of Maryland, Baltimore County

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 15, NO. 11, NOVEMBER 2007 1245 Graphical IDDQ Signatures Reduce Defect Level and Yield Loss Lan Rao, Member, IEEE, Michael L. Bushnell,

More information

Impact of Leakage on IC Testing?

Impact of Leakage on IC Testing? Deep Sub-micron Test: High Leakage Current and Its Impact on Test; Cross-talk Noise Kaushik Roy Electrical & Computer Engineering Purdue University Impact of Leakage on IC Testing? Our Focus Higher intrinsic

More information

Diagnosis of Resistive-Open Defects using I DDT in Digital CMOS Circuits

Diagnosis of Resistive-Open Defects using I DDT in Digital CMOS Circuits Diagnosis of Resistive-Open Defects using I DDT in Digital CMOS Circuits Changgeng Yu 1,2 ; Guixiong Liu 1 ;Liping Lai 2 1 School of Mechanical & Automotive Engineering, South China University of Technology,

More information

THE I DDQ testing [1] finds defects not detectable by voltage

THE I DDQ testing [1] finds defects not detectable by voltage IEEE TRANSACTIONS ON VLSI SYSTEMS 1 Graphical I DDQ Signatures Reduce Defect Level and Yield Loss Lan Rao, Member, IEEE, Michael L. Bushnell Senior Member, IEEE, and Vishwani D. Agrawal Fellow, IEEE Abstract

More information

Practical Fault Coverage of Supply Current Tests for Bipolar ICs

Practical Fault Coverage of Supply Current Tests for Bipolar ICs Practical Coverage Supply Current Tests for Bipolar ICs Isao Tsukimoto, Masaki Hashizume, Hiroyuki Yotsuyanagi, Takeomi Tamesada Dept. Electronic Engineering, Takuma National College Technology tukimoto@de.takuma-ct.ac.jp

More information

A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing

A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing LARISSA SOARES Federal University of Paraíba Department of Electrical Engineering Cidade Universitária, n/n João Pessoa BRAZIL

More information

Wafer Signature Analysis of I DDQ Test Data

Wafer Signature Analysis of I DDQ Test Data Wafer Signature Analysis of I DDQ Test Data Sagar S. Sabade D. M. H. Walker Department of Computer Science Texas A&M University College Station, TX 77843-32 Phone: (979) 862-4387 Fax: (979) 847-8578 E-mail:

More information

Accurate Fault Modeling and Fault Simulation of Resistive Bridges

Accurate Fault Modeling and Fault Simulation of Resistive Bridges Accurate Fault Modeling and Fault Simulation of Resistive Bridges Vijay Sar-Dessai D. M. H. Walker Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University Texas A&M University College

More information

Current-Based Testing for Deep-Submicron VLSIs

Current-Based Testing for Deep-Submicron VLSIs urrent-ased Testing urrent-ased Testing for Deep-Submicron VLSIs Manoj Sachdev University of Waterloo 76 urrent-based testing for deep-submicron VLSIs is important because of transistor sensitivity to

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Time- and Frequency-Domain Transient Signal Analysis for Defect Detection in CMOS Digital IC s

Time- and Frequency-Domain Transient Signal Analysis for Defect Detection in CMOS Digital IC s 1390 IEEE TRANSACTIONS ONCIRCUITS AND SYSTEMS I: FUNDAMENTAL THEORY AND APPLICATIONS, VOL. 46, NO. 11, NOVEMBER 1999 [17] F. Doorenbosch, A monolithically integrated wide tuneable sine oscillator, Ph.D.

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

I DDQ Method. Quiescent-Signal Analysis: A Multiple Supply Pad. Quiescent-Signal Analysis

I DDQ Method. Quiescent-Signal Analysis: A Multiple Supply Pad. Quiescent-Signal Analysis Quiescent-Signal Analysis Quiescent-Signal Analysis: A Multiple Supply Pad I DDQ Method Jim Plusquellic, Dhruva Acharyya, Abhishek Singh, Mohammad Tehranipoor, and Chintan Patel University of Maryland,

More information

Defect-Oriented Test Methodology for Complex Mixed-Signal Circuits

Defect-Oriented Test Methodology for Complex Mixed-Signal Circuits Defect-Oriented Test Methodology for Complex Mixed-Signal Circuits F.C.M. Kuijstermans A.P. Thijssen M. Sachdev Delft University of Technology, Faculty of Electrical Engineering, P.O.Box 5031, 20 GA Delft,

More information

A Novel Wavelet Transform Based Transient Current Analysis for Fault Detection and Localization Λ

A Novel Wavelet Transform Based Transient Current Analysis for Fault Detection and Localization Λ A Novel Wavelet Transform Based Transient Current Analysis for Fault Detection and Localization Λ Swarup Bhunia Purdue University Electrical and Computer Engineering Indiana, USA bhunias@purdue.edu Kaushik

More information

Test based on Built-In Current Sensors for Mixed-Signal Circuits

Test based on Built-In Current Sensors for Mixed-Signal Circuits Test based on Built-In Current Sensors for Mixed-Signal Circuits Román Mozuelos, Yolanda Lechuga, Mar Martínez and Salvador Bracho Microelectronic Engineeering Group, University of Cantabria, ETSIIT, Av.

More information

Impact of Low-Impedance Substrate on Power Supply Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity Impact of Low-Impedance Substrate on Power Supply Integrity Rajendran Panda and Savithri Sundareswaran Motorola, Austin David Blaauw University of Michigan, Ann Arbor Editor s note: Although it is tempting

More information

[9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings

[9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings [9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings of International Test Conference, pages 795{801. IEEE, 1989. [10] Kuen-Jong Lee and Melvin A Breuer. Constraints

More information

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers

DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers DFT for Testing High-Performance Pipelined Circuits with Slow-Speed Testers Muhammad Nummer and Manoj Sachdev University of Waterloo, Ontario, Canada mnummer@vlsi.uwaterloo.ca, msachdev@ece.uwaterloo.ca

More information

Design of Adders with Less number of Transistor

Design of Adders with Less number of Transistor Design of Adders with Less number of Transistor Mohammed Azeem Gafoor 1 and Dr. A R Abdul Rajak 2 1 Master of Engineering(Microelectronics), Birla Institute of Technology and Science Pilani, Dubai Campus,

More information

A. B. M. H. Rashid * Dhaka Bangladesh. 1000, Bangladesh. Dr. A.B.M. Harun-ur Rashid. Associate Professor

A. B. M. H. Rashid * Dhaka Bangladesh. 1000, Bangladesh.   Dr. A.B.M. Harun-ur Rashid. Associate Professor Title: Fault Characterization, Testability Issue and Design for Testability of Complementary Pass Transistor Logic Circuits Authors: Mohammad Faisal * Abdul Hasib + A. B. M. H. Rashid * * Affiliation:

More information

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR

A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR A SIGNAL DRIVEN LARGE MOS-CAPACITOR CIRCUIT SIMULATOR Janusz A. Starzyk and Ying-Wei Jan Electrical Engineering and Computer Science, Ohio University, Athens Ohio, 45701 A designated contact person Prof.

More information

Path Delay Test Compaction with Process Variation Tolerance

Path Delay Test Compaction with Process Variation Tolerance 50.1 Path Delay Test Compaction with Process Variation Tolerance Seiji Kajihara Masayasu Fukunaga Xiaoqing Wen Kyushu Institute of Technology 680-4 Kawazu, Iizuka, 820-8502 Japan e-mail:{kajihara, fukunaga,

More information

Fast Placement Optimization of Power Supply Pads

Fast Placement Optimization of Power Supply Pads Fast Placement Optimization of Power Supply Pads Yu Zhong Martin D. F. Wong Dept. of Electrical and Computer Engineering Dept. of Electrical and Computer Engineering Univ. of Illinois at Urbana-Champaign

More information

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Ananda S.Paymode.Dnyaneshwar K.Padol. Santosh B.Lukare. Asst. Professor, Dept. of E & TC, LGNSCOE,Nashik,UO Pune, MaharashtraIndia

More information

I DDX -based Test Methods: A Survey

I DDX -based Test Methods: A Survey I DDX -based Test Methods: A Survey SAGAR S. SABADE AND DUNCAN. M. WALKER Texas A&M University Abstract Supply current measurement-based test is a valuable defect-based test method for semiconductor chips.

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

Abstract. 1 Introduction

Abstract. 1 Introduction Variable Input Delay CMOS Logic for Low Power Design Tezaswi Raja Vishwani D. Agrawal Michael L. Bushnell Transmeta Corp. Auburn University, Dept. of ECE Rutgers University, Dept. of ECE Santa Clara, CA

More information

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies A High Performance IDDQ Testable Cache for Scaled CMOS Technologies Swarup Bhunia, Hai Li and Kaushik Roy Purdue University, 1285 EE Building, West Lafayette, IN 4796 {bhunias, hl, kaushik}@ecn.purdue.edu

More information

DIGITALLY controlled and area-efficient calibration circuits

DIGITALLY controlled and area-efficient calibration circuits 246 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 5, MAY 2005 A Low-Voltage 10-Bit CMOS DAC in 0.01-mm 2 Die Area Brandon Greenley, Raymond Veith, Dong-Young Chang, and Un-Ku

More information

MODELLING AND TESTING OF GATE OXIDE SHORTS IN SRAM AND DRAM

MODELLING AND TESTING OF GATE OXIDE SHORTS IN SRAM AND DRAM MODELLING AND TESTING OF GATE OXIDE SHORTS IN SRAM AND DRAM Ms.V.Kavya Bharathi 1, Mr.M.Sathiyenthiran 2 1 PG Scholar, Department of ECE, Srinivasan Engineering College, Perambalur, TamilNadu, India. 2

More information

Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays

Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays Arifur Rahman and Vijay Polavarapuv Department of Electrical and Computer Engineering, Polytechnic University, Brooklyn, NY

More information

Improved DFT for Testing Power Switches

Improved DFT for Testing Power Switches Improved DFT for Testing Power Switches Saqib Khursheed, Sheng Yang, Bashir M. Al-Hashimi, Xiaoyu Huang School of Electronics and Computer Science University of Southampton, UK. Email: {ssk, sy8r, bmah,

More information

Noise Generation and Coupling Mechanisms in Deep-Submicron ICs

Noise Generation and Coupling Mechanisms in Deep-Submicron ICs Noise Generation and Coupling Mechanisms in Deep-Submicron ICs Xavier Aragonès, Jose Luis González, Francesc Moll, and Antonio Rubio Universitat Politècnica de Catalunya On-chip noise generation and coupling

More information

A TDC based BIST Scheme for Operational Amplifier Jun Yuan a and Wei Wang b

A TDC based BIST Scheme for Operational Amplifier Jun Yuan a and Wei Wang b Applied Mechanics and Materials Submitted: 2014-07-19 ISSN: 1662-7482, Vols. 644-650, pp 3583-3587 Accepted: 2014-07-20 doi:10.4028/www.scientific.net/amm.644-650.3583 Online: 2014-09-22 2014 Trans Tech

More information

Dual Passive Input Digital Isolator. Features. Applications

Dual Passive Input Digital Isolator. Features. Applications Dual Passive Input Digital Isolator Functional Diagram Each device in the dual channel IL611 consists of a coil, vertically isolated from a GMR Wheatstone bridge by a polymer dielectric layer. A magnetic

More information

ACCURATE SUPPLY CURRENT TESTING OF MIXED-SIGNAL IC USING AUTO-ZERO VOLTAGE COMPARATOR

ACCURATE SUPPLY CURRENT TESTING OF MIXED-SIGNAL IC USING AUTO-ZERO VOLTAGE COMPARATOR ACCURATE SUPPLY CURRENT TESTING OF MIXED-SIGNAL IC USING AUTO-ZERO VOLTAGE COMPARATOR Vladislav Nagy, Viera Stopjaková, Pavol Malošek, Libor Majer Department of Microelectronics, Slovak University of Technology,

More information

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem A report Submitted to Canopus Systems Inc. Zuhail Sainudeen and Navid Yazdi Arizona State University July 2001 1. Overview

More information

ENEE 307 Laboratory#2 (n-mosfet, p-mosfet, and a single n-mosfet amplifier in the common source configuration)

ENEE 307 Laboratory#2 (n-mosfet, p-mosfet, and a single n-mosfet amplifier in the common source configuration) Revised 2/16/2007 ENEE 307 Laboratory#2 (n-mosfet, p-mosfet, and a single n-mosfet amplifier in the common source configuration) *NOTE: The text mentioned below refers to the Sedra/Smith, 5th edition.

More information

A Three-Port Adiabatic Register File Suitable for Embedded Applications

A Three-Port Adiabatic Register File Suitable for Embedded Applications A Three-Port Adiabatic Register File Suitable for Embedded Applications Stephen Avery University of New South Wales s.avery@computer.org Marwan Jabri University of Sydney marwan@sedal.usyd.edu.au Abstract

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents Array subsystems Gate arrays technology Sea-of-gates Standard cell Macrocell

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

Quantitative Analysis of Very-Low-Voltage Testing

Quantitative Analysis of Very-Low-Voltage Testing Quantitative nalysis of Very-Low-Voltage Testing Jonathan T.-Y. Chang and Edward J. McCluskey Center for Reliable Computing Stanford University, Stanford, C bstract Some weak static CMOS chips can be detected

More information

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 131 CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 7.1 INTRODUCTION Semiconductor memories are moving towards higher levels of integration. This increase in integration is achieved through reduction

More information

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment 1014 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 7, JULY 2005 Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment Dongwoo Lee, Student

More information

Testing scheme for IC's clocks. DEIS - University of Bologna. Viale Risorgimento, 2. treated as a side eect. In fact, it is easy to

Testing scheme for IC's clocks. DEIS - University of Bologna. Viale Risorgimento, 2. treated as a side eect. In fact, it is easy to Testing scheme for IC's clocks ichele Favalli and Cecilia etra DEIS - University of Bologna Viale Risorgimento, 2 40136 Bologna, Italy Abstract This paper proposes a testing scheme to detect abnormal skews

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002 Overview ECE 3: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic and Fault Modeling Motivation Logic Modeling Model types Models at different levels of abstractions Models and definitions Fault Modeling

More information

A Bottom-Up Approach to on-chip Signal Integrity

A Bottom-Up Approach to on-chip Signal Integrity A Bottom-Up Approach to on-chip Signal Integrity Andrea Acquaviva, and Alessandro Bogliolo Information Science and Technology Institute (STI) University of Urbino 6029 Urbino, Italy acquaviva@sti.uniurb.it

More information

Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths

Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths Junxia Ma, Jeremy Lee and Mohammad Tehranipoor ECE Department, University of Connecticut, CT, 06269 {junxia, jslee,

More information

500mA Low Noise LDO with Soft Start and Output Discharge Function

500mA Low Noise LDO with Soft Start and Output Discharge Function 500mA Low Noise LDO with Soft Start and Output Discharge Function Description The is a family of CMOS low dropout (LDO) regulators with a low dropout voltage of 250mV at 500mA designed for noise-sensitive

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

Detecting Delay Faults using Power Supply Transient Signal Analysis

Detecting Delay Faults using Power Supply Transient Signal Analysis Detecting Delay Faults using Power Supply Transient Signal Analysis Abhishek Singh, Chintan Patel, Shirong Liao, Jim Plusquellic+ and Anne Gattiker* Department of CSEE, University of Maryland, Baltimore

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies

Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies Chapter 20 Circuit Design Methodologies for Test Power Reduction in Nano-Scaled Technologies Veena S. Chakravarthi and Swaroop Ghosh Abstract Test power has emerged as an important design concern in nano-scaled

More information

LOW CURRENT REFERENCES WITH SUPPLY INSENSITIVE BIASING

LOW CURRENT REFERENCES WITH SUPPLY INSENSITIVE BIASING Annals of the Academy of Romanian Scientists Series on Science and Technology of Information ISSN 2066-8562 Volume 3, Number 2/2010 7 LOW CURRENT REFERENCES WITH SUPPLY INSENSITIVE BIASING Vlad ANGHEL

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Low-Power, Single/Dual-Voltage μp Reset Circuits with Capacitor-Adjustable Reset Timeout Delay

Low-Power, Single/Dual-Voltage μp Reset Circuits with Capacitor-Adjustable Reset Timeout Delay General Description The MAX6412 MAX6420 low-power microprocessor supervisor circuits monitor system voltages from 1.6V to 5V. These devices are designed to assert a reset signal whenever the supply voltage

More information

Memristor Load Current Mirror Circuit

Memristor Load Current Mirror Circuit Memristor Load Current Mirror Circuit Olga Krestinskaya, Irina Fedorova, and Alex Pappachen James School of Engineering Nazarbayev University Astana, Republic of Kazakhstan Abstract Simple current mirrors

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

150mA, Low-Dropout Linear Regulator with Power-OK Output

150mA, Low-Dropout Linear Regulator with Power-OK Output 9-576; Rev ; /99 5mA, Low-Dropout Linear Regulator General Description The low-dropout (LDO) linear regulator operates from a +2.5V to +6.5V input voltage range and delivers up to 5mA. It uses a P-channel

More information

Testing Digital Systems II

Testing Digital Systems II Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture Logistics

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

Modeling Gate Oxide Short Defects in CMOS Minimum Transistors

Modeling Gate Oxide Short Defects in CMOS Minimum Transistors Modeling Gate Oxide Short Defects in CMOS Minimum Transistors M. Renovell, J.M. Gallière, F. Azaïs and Y. Bertrand Laboratoire d'informatique Robotique Microélectronique de Montpellier LIRMM-UMII Université

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

Design of Sub-10-Picoseconds On-Chip Time Measurement Circuit

Design of Sub-10-Picoseconds On-Chip Time Measurement Circuit Design of Sub-0-Picoseconds On-Chip Time Measurement Circuit M.A.Abas, G.Russell, D.J.Kinniment Dept. of Electrical and Electronic Eng., University of Newcastle Upon Tyne, UK Abstract The rapid pace of

More information

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier LETTER IEICE Electronics Express, Vol.11, No.6, 1 7 Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier S. Vijayakumar 1a) and Reeba Korah 2b) 1

More information

Leakage Current Modeling in PD SOI Circuits

Leakage Current Modeling in PD SOI Circuits Leakage Current Modeling in PD SOI Circuits Mini Nanua David Blaauw Chanhee Oh Sun MicroSystems University of Michigan Nascentric Inc. mini.nanua@sun.com blaauw@umich.edu chanhee.oh@nascentric.com Abstract

More information

Glitch Power Reduction for Low Power IC Design

Glitch Power Reduction for Low Power IC Design This document is an author-formatted work. The definitive version for citation appears as: N. Weng, J. S. Yuan, R. F. DeMara, D. Ferguson, and M. Hagedorn, Glitch Power Reduction for Low Power IC Design,

More information

Oscillation Test Methodology for Built-In Analog Circuits

Oscillation Test Methodology for Built-In Analog Circuits Oscillation Test Methodology for Built-In Analog Circuits Ms. Sankari.M.S and Mr.P.SathishKumar Department of ECE, Amrita School of Engineering, Bangalore, India Abstract This article aims to describe

More information

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies Low-Power and Process Variation Tolerant Memories in sub-9nm Technologies Saibal Mukhopadhyay, Swaroop Ghosh, Keejong Kim, and Kaushik Roy Dept. of ECE, Purdue University, West Lafayette, IN, @ecn.purdue.edu

More information

AN INVESTIGATION ON ADC TESTING USING DIGITAL MODELLING

AN INVESTIGATION ON ADC TESTING USING DIGITAL MODELLING 245 A IVESTIGATIO O ADC TESTIG USIG DIGITAL MODELLIG Leong Mun Hon, Abu Khari bin A ain Electronics Engineering Department (ISEED) Faculty of Electrical Engineering, Universiti Teknologi Malaysia 81310

More information

Dual-Threshold Voltage Assignment with Transistor Sizing for Low Power CMOS Circuits

Dual-Threshold Voltage Assignment with Transistor Sizing for Low Power CMOS Circuits 390 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 9, NO. 2, APRIL 2001 Dual-Threshold Voltage Assignment with Transistor Sizing for Low Power CMOS Circuits TABLE I RESULTS FOR

More information

[Delta] IDDQ testing of a CMOS 12-bit charge scaling digital-to-analog converter

[Delta] IDDQ testing of a CMOS 12-bit charge scaling digital-to-analog converter Louisiana State University LSU Digital Commons LSU Master's Theses Graduate School 2006 [Delta] IDDQ testing of a CMOS 12-bit charge scaling digital-to-analog converter Kalyan Madhav Golla Louisiana State

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

Reduced Current Class AB Radio Receiver Stages Using Novel Superlinear Transistors with Parallel NMOS and PMOS Transistors at One GHz

Reduced Current Class AB Radio Receiver Stages Using Novel Superlinear Transistors with Parallel NMOS and PMOS Transistors at One GHz Copyright 2007 IEEE. Published in IEEE SoutheastCon 2007, March 22-25, 2007, Richmond, VA. Personal use of this material is permitted. However, permission to reprint/republish this material for advertising

More information

TESTING DSM ASIC WITH STATIC, IDDQ, AND DYNAMIC TEST SUITE: IMPLEMENTATION AND RESULTS

TESTING DSM ASIC WITH STATIC, IDDQ, AND DYNAMIC TEST SUITE: IMPLEMENTATION AND RESULTS TESTING DSM ASIC WITH STATIC, IDDQ, AND DYNAMIC TEST SUITE: IMPLEMENTATION AND RESULTS Yoshihito Nishizaki, Osamu Nakayama, Chiaki Matsumoto, Yoshitaka Kimura, Toshimi Kobayashi, and Hiroyuki Nakamura

More information

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method Ms. Harshal Meharkure 1, Mr. Swapnil Gourkar 2 1 Lecturer,

More information

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar Testing of Complex Digital Chips Juri Schmidt Advanced Seminar - 11.02.2013 Outline Motivation Why testing is necessary Background Chip manufacturing Yield Reasons for bad Chips Design for Testability

More information

Zhan Chen and Israel Koren. University of Massachusetts, Amherst, MA 01003, USA. Abstract

Zhan Chen and Israel Koren. University of Massachusetts, Amherst, MA 01003, USA. Abstract Layer Assignment for Yield Enhancement Zhan Chen and Israel Koren Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA 0003, USA Abstract In this paper, two algorithms

More information

IDDQ and Diagnosis. Outline. I DDQ and Diagnosis. Introduction. Definition of Diagnosis. Why Diagnosis? Test and Diagnosis Flow

IDDQ and Diagnosis. Outline. I DDQ and Diagnosis. Introduction. Definition of Diagnosis. Why Diagnosis? Test and Diagnosis Flow Center for RC eliable omputing I and Diagnosis Stanford University ugust 16, 1999 Outline Introduction oolean Diagnosis ridging Fault Diagnosis Problems I Diagnosis Future Research Topics Summary 1 2 Introduction

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

Supply Voltage Supervisor TL77xx Series. Author: Eilhard Haseloff

Supply Voltage Supervisor TL77xx Series. Author: Eilhard Haseloff Supply Voltage Supervisor TL77xx Series Author: Eilhard Haseloff Literature Number: SLVAE04 March 1997 i IMPORTANT NOTICE Texas Instruments (TI) reserves the right to make changes to its products or to

More information

CMOS Circuit Design for Minimum Dynamic Power. and Highest Speed

CMOS Circuit Design for Minimum Dynamic Power. and Highest Speed CMOS Circuit Design for Minimum Dynamic Power and Highest Speed Tezaswi Raja Vishwani D. Agrawal y Michael L. Bushnell Rutgers University, Dept. of ECE Rutgers University, Dept. of ECE Rutgers University,

More information

ABSTRACT. Keywords: 0,18 micron, CMOS, APS, Sunsensor, Microned, TNO, TU-Delft, Radiation tolerant, Low noise. 1. IMAGERS FOR SPACE APPLICATIONS.

ABSTRACT. Keywords: 0,18 micron, CMOS, APS, Sunsensor, Microned, TNO, TU-Delft, Radiation tolerant, Low noise. 1. IMAGERS FOR SPACE APPLICATIONS. Active pixel sensors: the sensor of choice for future space applications Johan Leijtens(), Albert Theuwissen(), Padmakumar R. Rao(), Xinyang Wang(), Ning Xie() () TNO Science and Industry, Postbus, AD

More information

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP 1 B. Praveen Kumar, 2 G.Rajarajeshwari, 3 J.Anu Infancia 1, 2, 3 PG students / ECE, SNS College of Technology, Coimbatore, (India)

More information

BL9110 1A Low Dropout, Low Quiescent Current High PSRR CMOS Linear Regulator

BL9110 1A Low Dropout, Low Quiescent Current High PSRR CMOS Linear Regulator FEATURES Up to 1A Output Current 70uA Operating Supply Current Excellent Line Regulation: 0.05%/V Low Dropout: 350mV@1A(=3.3V) High Power Supply Rejection Ratio Wide Operating Voltage Range: 2.5V to 6.0V

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

Reliability and Energy Dissipation in Ultra Deep Submicron Designs

Reliability and Energy Dissipation in Ultra Deep Submicron Designs Reliability and Energy Dissipation in Ultra Deep Submicron Designs 5/19/2005 page 1 Reliability and Energy Dissipation in Ultra Deep Submicron Designs Frank Sill 31 th March 2005 5/19/2005 page 2 Outline

More information