CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

Size: px
Start display at page:

Download "CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM"

Transcription

1 131 CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 7.1 INTRODUCTION Semiconductor memories are moving towards higher levels of integration. This increase in integration is achieved through reduction in storage cell size causing a reduction in the charge representing a stored bit. This raises the reliability issues of memories (Andrei Pavlov and Manoj Sachdev 2008). Moreover, memories occupy the largest area in modern ICs. Hence the drastic device shrinking with higher operating speeds reduce the reliability of deep submicron ICs. When memories (SRAM) are used in critical applications like space, the main cause for reliability reduction is due to soft errors also called as single event upsets (SEUs), which are radiationinduced transient errors caused by neutrons from cosmic rays and alpha particles from packaging materials. Errors occurring in SRAMs can be hard or soft (transient) errors. Hard errors are the permanent faults that cannot be overcome by rewrite operation. Structured scan-based and BIST techniques are effective means to detect permanent faults (Ashok Sharma 2004). Soft errors are due to heavy particles incident on sensitive node of the SRAM producing electron-hole pairs, and this ionization can cause flip on data (Srour and McGarrity 1988, Sherra Kerns and Shafer 1988). Thus, soft error is a potential threat to the reliability of memories in space environment (Pickel and Blanford 1978, Thomas Turflinger and Martin Davey 1990).

2 132 Traditionally soft errors are regarded as a major concern only for space applications. But for designs manufactured at deep submicron technology, soft errors are more frequent due to the alpha particles created by unstable isotopes found in the packaging materials of a chip. Hence soft errors occur not only at space environment, but also occur at the ground level (Mitra et al 2005, Michael Nicolaidis 1999). Thus designing of soft error tolerant SRAMs gains importance. 7.2 LITERATURE SURVEY Soft errors can be overcome by various methods such as hardening, protection and recovery. Hardening technique uses insertion of transistors like duplicating, to make the cell insensitive to single event upsets (Calin et al 1996). The main drawback of the work done by Calin et al (1996) is, it uses 12 transistors for each memory cell, increasing the area by twice. Protection method (Shiyanovskii et al 2008) uses capacitor, which is vertically connected between two storage nodes (Q QBAR) of SRAM cell. When particle due to radiation, strikes on sensitive node of the SRAM cell, the capacitor gets charged. But this method is applicable only when memory is at standby condition. If protection method is used under operating condition, it will increase the access time. Recovery method uses error detection and correction principle. To maintain the acceptable reliability levels of SRAM, Error Correcting Codes (ECC) are often used to detect and correct soft errors often called as Single Event Upset (SEU). But ECC may cause area overhead, and significant performance and power dissipation. This technique detects and corrects the error only while reading the faulty bit. So there will be latency between the occurrence of the SEU and correction, which can cause accumulation of SEUs. These drawbacks can be overcome by using Built In Current Sensor (BICS) to detect soft errors. Traditional BICS (Nicolaidis et al 1993, Vargas

3 133 et al 1993) are used to monitor the static current dissipation on the circuit, which is synchronous in nature. On the other hand SEU can occur at any instant of time. To cope with these problem, asynchronous BICS are used (Vargas and Nicolaidis 1994), which has asynchronous latch. This BICS is connected on the vertical power lines of a memory to find the faulty column. Parity bit per memory word was used to find the faulty row, in such a way that it can detect the affected bit and will perform the error correction. The BICS used is asynchronous one. This makes the BICS design more difficult than synchronous BICS. The BICS proposed by calin et al (1995) has more voltage drop in V DD and gnd. It is overcome by BICS proposed by Balkaran Gill et al (2005), where only single cell is considered for a column which results in the voltage drop of less than 10mV. But it cannot detect the soft error under operating condition. The aim of this chapter is to propose a BICS, which detects the soft error under both operating and standby condition without affecting the performance of the BICS. All the simulations have been carried out using T-SPICE in 90 nm technology. 7.3 RADIATION EFFECT ON SRAM CELL Sources of radiation Memory performances are severely affected by the radiation particles. These charge particles are present in the space environment as cosmic rays and they are present within the chip as -particles. The -particle is caused by the radioactive decay of uranium and thorium impurities present in the chip packaging materials and interconnects of the chip Single Event Upset in SRAM Single Event Upset (SEU) in memories refers to the loss of information from a memory cell caused by a single ionizing particle. The

4 134 effect of SEU in a SRAM cell is the flip of data from 0 to 1 or 1 to 0. When a single high energy particle strikes the sensitive nodes of the SRAM cell, the charge generation and collection occurs as shown in Figure 7.1. Figure 7.1 Particle strike and charge generation The two sensitive nodes in the SRAM cell are the drain of the OFF_NMOS and the drain of the OFF_PMOS. The drain of the OFF_MOS transistors forms the reverse biased PN junctions with the substrates. These junctions are more sensitive to particle strikes and will cause the generation of electron and hole pairs, when the particle passes through the PN junction. The generated electrons move towards the positive voltage of reverse biased junction and holes move toward the negative voltage side. These movement of charges cause the generation of current pulse at the sensitive nodes of the cell. Thus when a particle strike occurs, a current pulse as shown in Figure 7.2 is generated at the sensitive nodes of the SRAM cell, causing the data stored in the cell to flip. The memory cell flips the data, when collected charge exceeds the critical charge (Q crit ) that has been stored in the sensitive node, where the critical charge Q crit is the minimum charge required to flip the data.

5 135 Figure 7.2 Current pulse generated due to particle strike The critical charge not only depends on the charges collected at the struck node, but also depends on the current pulse produced at the same node. This current pulse is equivalent to the current between drain and the substrate. 7.4 SRAM SOFT ERROR In CMOS circuits SEUs are modeled by injecting the current pulses as shown in Figure 7.2, at the sensitive nodes. The current pulse has fast rise time and gradual fall time. The shape of current pulse is approximated by Equation 7.1 -t -t c tf tr I (t) = (e - e ) Q t -t (7.1) f r where Q c is the charge collected due to particle strike, t r is the rise time and t f is the fall time (Balkaran Gill et al 2005). Figure 7.3 shows the conventional

6 136 6T SRAM cell designed at 90nm process technology with operating voltage of 1.05V having two sensitive nodes Q and QBAR. BL V DD BLB WL P1 P2 N3 Q WL QBAR N4 N1 N2 Figure 7.3 SRAM Cell structure Soft error under standby condition The soft error can occur at any instant of time. When the SRAM is under standby condition, a 1 to 0 flip is said to occur when the particle strikes and discharges the charge stored at the drain of the OFF_NMOS transistor. Similarly a 0 to 1 flip is said to occur when the particle strikes and discharges the drain of the OFF_PMOS transistor. For analysis, a current pulse with fast rise time and gradual fall time is generated by choosing t r = 5ps and t f = 370ps. It is injected at the OFF_NMOS transistor (N1) of the SRAM cell shown in Figure 7.3.

7 137 Figure 7.4 SRAM soft error under standby condition Figure 7.4 shows the simulation result of SRAM cell for the injected current pulse at standby condition. From 0 to 10 ns word line (WL) is enabled during which bit line (BL) remains high and Bit line bar (BLBAR) remains at 0. Hence the nodeq has the value 1 and QBAR 0 as shown in Figure 7.4

8 138 At 15 th ns, when the current pulse is injected at nodeq, the data gets flipped from 1 to 0 at nodeq and from 0 to 1 at nodeqbar indicating the occurrence of soft error Soft error under operating condition Figures 7.5, 7.6 and 7.7 indicate the occurrence of soft error under operating conditions. Figure 7.5 shows that the data flip does not occur when the current pulse is injected at the intermediate time of write operation. From 0 to 10 ns data 1 is written, hence WL is high, Q is 1V and QBAR is 0V. Current pulse is injected during write operation at 5 th ns. Q remains at 1V and QBAR at 0V; hence there is no flip of data. Figure 7.5 No flip under intermediate time of write operation

9 139 Figure 7.6 shows that the data gets flipped (Q becomes 0V and QBAR becomes 1V) when current pulse is injected at the end of write operation at 9.7 th ns. Figure 7.7 shows the flip of data when the current pulse is injected during read operation. From 0 to 10ns data 1 is written and from 10 to 20ns data 1 is read. Hence WL remains high till 20ns and Q is at 1V and QBAR is at 0V till 10ns. When the current pulse is injected during read operation at 15 th ns, Q becomes 0V and QBAR shifts to 1V resulting in flip of stored data. Figure 7.6 Flip at the end of write operation

10 140 Figure 7.7 Data flip during read operation 7.5 EXISTING BUILT IN CURRENT SENSOR Figure 7.8 shows the BICS proposed by Balkaran Gill et al (2005). It uses current mirror and current source load inverter to amplify the upset current pulse and convert it into logic level voltage pulse. In Figure 7.8, S VDD is formed using transistors M v1 to M v8. S VDD is a 1 to 0 flip detector which detects and amplifies a transient current pulse generated due to 1 to 0 flip and provides logic level voltage pulse. S gnd is formed using transistors M G1 to M G8 and is a symmetrical counterpart of S VDD. It is used to detect 0 to 1 flip. Both S VDD and S gnd provide logic level voltage pulses (E v and E g ) when flip occurs and that is used to set an asynchronous latch. If any one of the two

11 141 outputs goes high then the latch is triggered and it generates the error signal Err 1. The BICS provides V DD and gnd to the column of the SRAM array. S VDD V DD VV DD dd V DD V DD dd B v B g V DD Reference Voltage Generator V DD V DD V DD V DD Figure 7.8 BICS proposed by Balkaran Gill et al (2005) Transistors M v1 and M v2 form an n-channel current mirror and transistors M v7 and M v8 form a current source load inverter. M v5 and M v6 act as the source resistors for the current mirror. The source current for the current mirror and current source load inverter is provided by transistors M v3, M v4 and M v8. The biasing voltage for transistors M v3, M v4 and M v8 are provided by reference voltage generator circuit as shown in Figure 7.8. It is a simple CMOS voltage divider that provided two levels of biasing voltages, B v

12 142 and B g for S VDD and S gnd respectively. The reference voltage generator is used for ensuring the correct BICS operation under power supply variations. It decreases or increases the output biasing voltage, when V DD decreases or increases. B v in S VDD is low making the transistors M v3, M v4 and M v8 to be turned ON. This allows the current drawn from V DD to reach the current mirror circuit. When a particle strikes at the drain of OFF_NMOS transistor of the SRAM cell, a transient current pulse is produced at the struck node. The current (I V ) flows from V DD to the struck node through the ON_PMOS transistor. When I V flows from V DD to the struck node, the current through the transistor M v1 decreases. As the transistors M v1 and M v2 forms a current mirror, the current through M v1 is mirrored through M v2 resulting in a reduced current through M v2. This causes an increase in the drain voltage of the transistor M v2. As the drain of the transistor M v2 is connected to the gate of the transistor M v7, it is turned ON. This results in a reduced voltage at the drain of M v7. This voltage is inverted to get full logic value at node E v by using the transistors M v9 and M v10. Similarly S gnd produces a full logic value at node E g, when particle strikes at the drain of the OFF_PMOS transistor of the cell. The outputs E v and E g are the inputs to the asynchronous latch. If any of the two outputs goes high then the latch is triggered and it will generate the error signal Err 1. When E v is high M1 transistor is turned ON and when E g is high M2 transistor is turned ON. For both the condition the node voltage N1 becomes low and hence node voltage N2 becomes high (back to back connected inverter). Thus the error signal Err 1 is generated. The reset signal (RST) is used to reset the asynchronous latch, after the upset is detected and during write and read operation. The signals Bypass V DD and Bypass gnd are used to disable the BICS operation during memory write and read operations. Hence this BICS is capable of detecting soft error only during standby condition but Figure 7.7

13 143 and Figure 7.8 indicates the occurrence of soft error during operating conditions also. Hence, a novel BICS is proposed in this chapter which is capable of detecting soft error at both standby and operating conditions. 7.6 PROPOSED BUILT IN CURRENT SENSOR Figure 7.9 shows the proposed BICS. In addition to S VDD, S gnd and asynchronous latch, a delay element with NMOS and PMOS transistors has been added as shown in Figure 7.9. S VDD, S gnd and asynchronous latch operates the same way as the existing BICS (Balkaran Gill et al 2005). But the signals Bypass V DD and Bypass gnd are not used in proposed BICS because the memory column is monitored for SEU during read and write operation of memory also. Figure 7.9 Proposed BICS

14 144 To enable the BICS operation under operating condition, the logic circuitry with delay element is used. This circuit is used to control the reset signal for all operating conditions. The reset control circuit contains one delay element and the transistors R p1, R p2, R n1, R n2 and R 3 as shown in Figure 7.9. The input to the delay element is word line (WL) signal. The delay element delays the WL signal when it is enabled during write operation. Buffer is used as the delay element and the buffer is designed to have the required delay. For the designed SRAM the write time is 10ns, so the required delay is considered as 8.5ns. The buffer is designed to obtain 8.5ns delay in such a way that the reset signal is enabled for first 8.5ns during write operation. The delayed signal is then given to the transistors R p2, R n2 and the WL signal is given to transistors R p1, R n1 to disable the reset signal during write operation. Similarly read enable signal (Read) is connected to the gate of the transistor R 3 to disable the reset signal during read operation. During standby condition, WL is in logic 0', R p1 is ON, so node a gets discharged and reset signal goes to logic 0 and BICS will be in operation. Hence if any flip occurs, error signal will be generated. During read operation, in addition to WL signal, read enable signal (Read) is also enabled so transistor R 3 is switched ON, node a gets discharged and reset signal becomes logic 0 enabling the BICS to generate error signal. Similarly during write operation, WL signal is high and the delayed output is low for first 8.5ns, turning ON the transistors R n1 and R p2. Thus node a remains at V DD, reset signal is in logic 1 disabling BICS for first 8.5ns. After a delay of 8.5ns the delayed WL signal remains high, turning OFF the transistor R p2 and turns ON R n2. Thus node a gets discharged making the reset signal low and enables the BICS operation at the end of write cycle (last 1.5ns). So BICS is ON for the entire period of standby condition, read operation and at the end of the write operation.

15 SIMULATION RESULTS AND DISCUSSION Figure 7.10 shows the simulation result of the proposed BICS during standby condition. WL signal remains high for 10ns during which data 1 is written into the cell making nodeq high. When the current pulse (I) is injected at 15 th ns (standby condition), the data gets flipped (Q becomes 0 and QBAR becomes 1 ). This upset is detected by the proposed BICS and makes the error signal (Error) high. Figure 7.10 BICS detection of soft error under standby condition

16 146 of write operation. Figure 7.11 shows the simulation result of 1 to 0 flip at the end Figure 7.11 BICS detection of soft error at the end of write cycle WL signal remains high for 10ns during which data 1 is written into the cell making the nodeq high. When the current pulse (I(Q)) is injected at 9.3 rd ns, the data gets flipped (Q becomes 0 and QBAR becomes 1 ). The nodee V in the proposed BICS is one of the inputs to the asynchronous latch to

17 147 generate the error signal (ERROR). The signal RST becomes low after 8.5ns during which the error signal is generated at the end of write operation. Figure 7.12 BICS detection of soft error during read cycle Figure 7.12 shows the simulation result of 1 to 0 flip during the read cycle. From 0 to 10ns, data 1 is written and from 10 to 20 ns, data 1 is read. So, WL remains high for 0 to 20ns. The current pulse is injected during read operation at 15 th ns as shown in Figure Hence at 15 th ns the data gets flipped (Q becomes 0 and QBAR becomes 1 ). The nodee V in the proposed BICS which is the input to the asynchronous latch, goes high. This makes the

18 148 generation of the error signal (ERROR) during the read operation when WL signal remains high as shown in Figure Table 7.1 and Table 7.2 show the various simulations done at the end of write cycle and during read cycle respectively, for different charge and different fall time with the rise time t r kept constant at 5ps. The first column shows the amount of collected charge, Q. For every value of Q, fall time t f is varied between 100ps and 500ps using a step of 100ps and t r is fixed to be 5ps. The rise time t r could be varied from 2ps to 6ps to make sure that all practical situations are covered, but the variation of t r in such a narrow range does not affect the results. The NF, ND in Table 7.1 and Table 7.2 shows the case when a particle hits a cell but the cell does not flip its content and the particle hit is not detected. The F, D shows the case when a particle striked, flips the cell content and detected by the proposed BICS. There was no case found for the condition that current pulse flipping the cell content but the BICS do not detect the flip. Thus, the proposed BICS scheme is very efficient for SEU detection, as all the flips are detected. Table 7.1 Simulation results for write time soft error Charge Delay time t f (ps) Q (pc) F,D F,D NF, ND NF, ND NF, ND 2.5 F, D F, D F, D NF, ND NF, ND 3.0 F, D F, D F, D F, D NF, ND

19 149 Table 7.2 Simulation results for read time soft error Charge Delay time t f (ps) Q (pc) F, D NF, ND NF, ND NF, ND NF, ND 0.5 F, D F, D F, D NF, ND NF, ND 0.75 F, D F, D F, D F, D NF, ND where F, D is flip and detected condition respectively NF, ND is No flip and no detection condition respectively 7.8 CONCLUSION In this chapter, a BICS design is proposed, capable of detecting soft errors during standby and operating conditions. Simulation results indicate the occurrence of soft error at the end of write cycle and at the intermediate time of read cycle in addition to standby condition. The proposed BICS design with a delay block and internal reset signal generation is capable of turning ON the BICS during the required operating conditions. This makes possible the detection of soft error at any instant of time of its occurrence irrespective of standby or operating condition.

A BICS Design to Detect Soft Error in CMOS SRAM

A BICS Design to Detect Soft Error in CMOS SRAM A BICS Design to Detect Soft Error in CMOS SRAM N.M.Sivamangai 1, Dr. K. Gunavathi 2, P. Balakrishnan 3 1 Lecturer, 2 Professor, 3 M.E. Student Department of Electronics and Communication Engineering,

More information

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. Abstract This paper presents a novel SRAM design for nanoscale CMOS. The new design addresses

More information

Low Power Dissipation SEU-hardened CMOS Latch

Low Power Dissipation SEU-hardened CMOS Latch PIERS ONLINE, VOL. 3, NO. 7, 2007 1080 Low Power Dissipation SEU-hardened CMOS Latch Yuhong Li, Suge Yue, Yuanfu Zhao, and Guozhen Liang Beijing Microelectronics Technology Institute, 100076, China Abstract

More information

A New Asymmetric SRAM Cell to Reduce Soft Errors and Leakage Power in FPGA

A New Asymmetric SRAM Cell to Reduce Soft Errors and Leakage Power in FPGA A New Asymmetric SRAM Cell to Reduce Soft Errors and Leakage Power in FPGA Balkaran S. Gill, Chris Papachristou, and Francis G. Wolff Department of Electrical Engineering and Computer Science Case Western

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

Method for Qcrit Measurement in Bulk CMOS Using a Switched Capacitor Circuit

Method for Qcrit Measurement in Bulk CMOS Using a Switched Capacitor Circuit Method for Qcrit Measurement in Bulk CMOS Using a Switched Capacitor Circuit John Keane Alan Drake AJ KleinOsowski Ethan H. Cannon * Fadi Gebara Chris Kim jkeane@ece.umn.edu adrake@us.ibm.com ajko@us.ibm.com

More information

SOFT ERROR TOLERANT HIGHLY RELIABLE MULTIPORT MEMORY CELL DESIGN

SOFT ERROR TOLERANT HIGHLY RELIABLE MULTIPORT MEMORY CELL DESIGN SOFT ERROR TOLERANT HIGHLY RELIABLE MULTIPORT MEMORY CELL DESIGN Murugeswaran S 1, Shiymala S 2 1 PG Scholar, 2 Professor, Department of VLSI Design, SBM College of Technology, Dindugal, ABSTRACT Tamilnadu,

More information

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin The Effect of Threshold Voltages on the Soft Error Rate - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin Outline Introduction Soft Errors High Threshold ( V t ) Charge Creation Logic Attenuation

More information

Design of Soft Error Tolerant Memory and Logic Circuits

Design of Soft Error Tolerant Memory and Logic Circuits Design of Soft Error Tolerant Memory and Logic Circuits Shah M. Jahinuzzaman PhD Student http://vlsi.uwaterloo.ca/~smjahinu Graduate Student Research Talks, E&CE January 16, 2006 CMOS Design and Reliability

More information

Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC

Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC Yi Zhao and Sujit Dey Department of Electrical and Computer Engineering University of California,

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

SOFT ERROR TOLERANT DESIGN OF STATIC RANDOM ACCESS MEMORY BITCELL. Lixiang Li

SOFT ERROR TOLERANT DESIGN OF STATIC RANDOM ACCESS MEMORY BITCELL. Lixiang Li SOFT ERROR TOLERANT DESIGN OF STATIC RANDOM ACCESS MEMORY BITCELL by Lixiang Li Submitted in partial fulfilment of the requirements for the degree of Master of Applied Science at Dalhousie University Halifax,

More information

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785

[Vivekanand*, 4.(12): December, 2015] ISSN: (I2OR), Publication Impact Factor: 3.785 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY DESIGN AND IMPLEMENTATION OF HIGH RELIABLE 6T SRAM CELL V.Vivekanand*, P.Aditya, P.Pavan Kumar * Electronics and Communication

More information

Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM

Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM V. Karthikeyan 1 1 Department of ECE, SVSCE, Coimbatore, Tamilnadu, India, Karthick77keyan@gmail.com

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

IOLTS th IEEE International On-Line Testing Symposium

IOLTS th IEEE International On-Line Testing Symposium IOLTS 2018 24th IEEE International On-Line Testing Symposium Exp. comparison and analysis of the sensitivity to laser fault injection of CMOS FD-SOI and CMOS bulk technologies J.M. Dutertre 1, V. Beroulle

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

Using Built-in Sensors to Cope with Long Duration Transient Faults in Future Technologies

Using Built-in Sensors to Cope with Long Duration Transient Faults in Future Technologies Using Built-in Sensors to Cope with Long Duration Transient Faults in Future Technologies Lisboa, C. A. 1, Kastensmidt, F. L. 1, Henes Neto, E. 2, Wirth, G. 3, Carro, L. 1 {calisboa, fglima}@inf.ufrgs.br,

More information

Design of Robust CMOS Circuits for Soft Error Tolerance

Design of Robust CMOS Circuits for Soft Error Tolerance Design of Robust CMOS Circuits for Soft Error Tolerance Debopriyo Chowdhury, Mohammad Amin Arbabian Department of EECS, Univ. of California, Berkeley, CA 9472 Abstract- With the continuous downscaling

More information

Laser attacks on integrated circuits: from CMOS to FD-SOI

Laser attacks on integrated circuits: from CMOS to FD-SOI DTIS 2014 9 th International Conference on Design & Technology of Integrated Systems in Nanoscale Era Laser attacks on integrated circuits: from CMOS to FD-SOI J.-M. Dutertre 1, S. De Castro 1, A. Sarafianos

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage:

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Email:

More information

CMOS VLSI Design (A3425)

CMOS VLSI Design (A3425) CMOS VLSI Design (A3425) Unit III Static Logic Gates Introduction A static logic gate is one that has a well defined output once the inputs are stabilized and the switching transients have decayed away.

More information

SOFT errors are radiation-induced transient errors caused by

SOFT errors are radiation-induced transient errors caused by IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 12, DECEMBER 2006 1461 Dual-Sampling Skewed CMOS Design for Soft-Error Tolerance Ming Zhang, Student Member, IEEE, and Naresh

More information

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM

DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM DESIGN & IMPLEMENTATION OF SELF TIME DUMMY REPLICA TECHNIQUE IN 128X128 LOW VOLTAGE SRAM 1 Mitali Agarwal, 2 Taru Tevatia 1 Research Scholar, 2 Associate Professor 1 Department of Electronics & Communication

More information

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2

Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2 Design and analysis of 6T SRAM cell using FINFET at Nanometer Regime Monali S. Mhaske 1, Prof. S. A. Shaikh 2 1 ME, Dept. Of Electronics And Telecommunication,PREC, Maharashtra, India 2 Associate Professor,

More information

Modeling the Impact of Device and Pipeline Scaling on the Soft Error Rate of Processor Elements

Modeling the Impact of Device and Pipeline Scaling on the Soft Error Rate of Processor Elements Modeling the Impact of Device and Pipeline Scaling on the Soft Error Rate of Processor Elements Department of Computer Sciences Technical Report 2002-19 Premkishore Shivakumar Michael Kistler Stephen W.

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

DesignofaRad-HardLibraryof DigitalCellsforSpaceApplications

DesignofaRad-HardLibraryof DigitalCellsforSpaceApplications DesignofaRad-HardLibraryof DigitalCellsforSpaceApplications Alberto Stabile, Valentino Liberali and Cristiano Calligaro stabile@dti.unimi.it, liberali@dti.unimi.it, c.calligaro@redcatdevices.it Department

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector Group Members Uttam Kumar Boda Rajesh Tenukuntla Mohammad M Iftakhar Srikanth Yanamanagandla 1 Table

More information

DESIGN AND ANALYSIS METHODOLOGIES TO REDUCE SOFT ERRORS IN NANOMETER VLSI CIRCUITS BALKARAN SINGH GILL

DESIGN AND ANALYSIS METHODOLOGIES TO REDUCE SOFT ERRORS IN NANOMETER VLSI CIRCUITS BALKARAN SINGH GILL DESIGN AND ANALYSIS METHODOLOGIES TO REDUCE SOFT ERRORS IN NANOMETER VLSI CIRCUITS by BALKARAN SINGH GILL Submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy Dissertation

More information

A radiation harden enhanced Quatro (RHEQ) SRAM cell

A radiation harden enhanced Quatro (RHEQ) SRAM cell LETTER IEICE Electronics Express, Vol.14, No.18, 1 12 A radiation harden enhanced Quatro (RHEQ) SRAM cell Chunyu Peng 1a), Ziyang Chen 1, Jingbo Zhang 1,2, Songsong Xiao 1, Changyong Liu 1, Xiulong Wu

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

Design of Asynchronous Circuits for High Soft Error Tolerance in Deep Submicron CMOS Circuits

Design of Asynchronous Circuits for High Soft Error Tolerance in Deep Submicron CMOS Circuits Design of synchronous Circuits for High Soft Error Tolerance in Deep Submicron CMOS Circuits Weidong Kuang, Member IEEE, Peiyi Zhao, Member IEEE, J.S. Yuan, Senior Member, IEEE, and R. F. DeMara, Senior

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies Low-Power and Process Variation Tolerant Memories in sub-9nm Technologies Saibal Mukhopadhyay, Swaroop Ghosh, Keejong Kim, and Kaushik Roy Dept. of ECE, Purdue University, West Lafayette, IN, @ecn.purdue.edu

More information

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Memory Reliability and Yield Control Logic Reliability and Yield Noise Sources in T DRam BL substrate Adjacent BL C WBL α-particles WL leakage C S electrode C cross Transposed-Bitline Architecture

More information

電子電路. Memory and Advanced Digital Circuits

電子電路. Memory and Advanced Digital Circuits 電子電路 Memory and Advanced Digital Circuits Hsun-Hsiang Chen ( 陳勛祥 ) Department of Electronic Engineering National Changhua University of Education Email: chenhh@cc.ncue.edu.tw Spring 2010 2 Reference Microelectronic

More information

A Circuit for Concurrent Detection of Soft and Timing Errors in Digital CMOS ICs

A Circuit for Concurrent Detection of Soft and Timing Errors in Digital CMOS ICs JOURNAL OF ELECTRONIC TESTING: Theory and Applications 20, 523 531, 2004 c 2004 Kluwer Academic Publishers. Manufactured in The United States. A Circuit for Concurrent Detection of Soft and Timing Errors

More information

Soft Error Susceptibility in SRAM-Based FPGAs. With the increasing emphasis on minimizing mass and volume along with

Soft Error Susceptibility in SRAM-Based FPGAs. With the increasing emphasis on minimizing mass and volume along with Talha Ansari CprE 583 Fall 2011 Soft Error Susceptibility in SRAM-Based FPGAs With the increasing emphasis on minimizing mass and volume along with cost in aerospace equipment, the use of FPGAs has slowly

More information

CMOS VLSI Design (A3425)

CMOS VLSI Design (A3425) CMOS VLSI Design (A3425) Unit V Dynamic Logic Concept Circuits Contents Charge Leakage Charge Sharing The Dynamic RAM Cell Clocks and Synchronization Clocked-CMOS Clock Generation Circuits Communication

More information

Effect of W/L Ratio on SRAM Cell SNM for High-Speed Application

Effect of W/L Ratio on SRAM Cell SNM for High-Speed Application Effect of W/L Ratio on SRAM Cell SNM for High-Speed Application Akhilesh Goyal 1, Abhishek Tomar 2, Aman Goyal 3 1PG Scholar, Department Of Electronics and communication, SRCEM Banmore, Gwalior, India

More information

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 Dummy Gate-Assisted n-mosfet Layout for a Radiation-Tolerant Integrated Circuit Min Su Lee and Hee Chul Lee Abstract A dummy gate-assisted

More information

A Novel Technique to Reduce Write Delay of SRAM Architectures

A Novel Technique to Reduce Write Delay of SRAM Architectures A Novel Technique to Reduce Write Delay of SRAM Architectures SWAPNIL VATS AND R.K. CHAUHAN * Department of Electronics and Communication Engineering M.M.M. Engineering College, Gorahpur-73 010, U.P. INDIA

More information

Analyzing the Issues of Soft Error Rates(SER)in Technology Trend & Reliability for CMOS designs

Analyzing the Issues of Soft Error Rates(SER)in Technology Trend & Reliability for CMOS designs Analyzing the Issues of Soft Error Rates(SER)in Technology Trend & Reliability for CMOS designs Sirisha.Gadiparti Electrical Engineering University of Central Florida Orlando, United States sirisha.gadiparti@knights.ucf.edu

More information

Variation Tolerant Hierarchical Voltage Monitoring Circuit for Soft Error Detection

Variation Tolerant Hierarchical Voltage Monitoring Circuit for Soft Error Detection Variation Tolerant Hierarchical Voltage onitoring Circuit for Soft Error Detection by Ashay Narsale Submitted in Partial Fulfillment of the Requirements for the Degree aster of Science Supervised by Professor

More information

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST /$ IEEE

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST /$ IEEE IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST 2008 2281 Tbulk-BICS: A Built-In Current Sensor Robust to Process and Temperature Variations for Soft Error Detection Egas Henes Neto, Fernanda

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

Design of a Hybrid Non-Volatile SRAM Cell for Concurrent SEU Detection and Correction

Design of a Hybrid Non-Volatile SRAM Cell for Concurrent SEU Detection and Correction > REPLACE THIS LINE WITH YOUR PAPER IDENTIFICATION NUMBER (DOUBLE-CLICK HERE TO EDIT)

More information

Difference between BJTs and FETs. Junction Field Effect Transistors (JFET)

Difference between BJTs and FETs. Junction Field Effect Transistors (JFET) Difference between BJTs and FETs Transistors can be categorized according to their structure, and two of the more commonly known transistor structures, are the BJT and FET. The comparison between BJTs

More information

EECS 141: SPRING 98 FINAL

EECS 141: SPRING 98 FINAL University of California College of Engineering Department of Electrical Engineering and Computer Science J. M. Rabaey 511 Cory Hall TuTh3:3-5pm e141@eecs EECS 141: SPRING 98 FINAL For all problems, you

More information

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #8: Leakage. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #8: Leakage Rajeevan Amirtharajah University of California, Davis Outline Announcements Review: Low Power Interconnect Finish Lecture 7 Leakage Mechanisms Circuit Styles for Low Leakage

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

Zero Steady State Current Power-on-Reset Circuit with Brown-Out Detector

Zero Steady State Current Power-on-Reset Circuit with Brown-Out Detector Zero Steady State Current Power-on-Reset Circuit with Brown-Out Detector Sanjay Kumar Wadhwa 1, G.K. Siddhartha 2, Anand Gaurav 3 Freescale Semiconductor India Pvt. Ltd. 1 sanjay.wadhwa@freescale.com,

More information

INTRODUCTION TO MOS TECHNOLOGY

INTRODUCTION TO MOS TECHNOLOGY INTRODUCTION TO MOS TECHNOLOGY 1. The MOS transistor The most basic element in the design of a large scale integrated circuit is the transistor. For the processes we will discuss, the type of transistor

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275 Single Event Effects in a 0.25 µm Silicon-On-Sapphire CMOS Technology Wickham Chen 1, Tiankuan Liu 2, Ping Gui 1, Annie C. Xiang 2, Cheng-AnYang 2, Junheng Zhang 1, Peiqing Zhu 1, Jingbo Ye 2, and Ryszard

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Electrostatic Discharge and Latch-Up

Electrostatic Discharge and Latch-Up Connexions module: m1031 1 Electrostatic Discharge and Latch-Up Version 2.10: Jul 3, 2003 12:00 am GMT-5 Bill Wilson This work is produced by The Connexions Project and licensed under the Creative Commons

More information

IMPACT OF DESIGNER-CONTROLLED PARAMETERS ON SINGLE-EVENT RESPONSES FOR FLIP-FLOP DESIGNS IN ADVANCED TECHNOLOGIES. Hangfang Zhang.

IMPACT OF DESIGNER-CONTROLLED PARAMETERS ON SINGLE-EVENT RESPONSES FOR FLIP-FLOP DESIGNS IN ADVANCED TECHNOLOGIES. Hangfang Zhang. IMPACT OF DESIGNER-CONTROLLED PARAMETERS ON SINGLE-EVENT RESPONSES FOR FLIP-FLOP DESIGNS IN ADVANCED TECHNOLOGIES By Hangfang Zhang Dissertation Submitted to the Faculty of the Graduate School of Vanderbilt

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Active Decap Design Considerations for Optimal Supply Noise Reduction

Active Decap Design Considerations for Optimal Supply Noise Reduction Active Decap Design Considerations for Optimal Supply Noise Reduction Xiongfei Meng and Resve Saleh Dept. of ECE, University of British Columbia, 356 Main Mall, Vancouver, BC, V6T Z4, Canada E-mail: {xmeng,

More information

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP 1 B. Praveen Kumar, 2 G.Rajarajeshwari, 3 J.Anu Infancia 1, 2, 3 PG students / ECE, SNS College of Technology, Coimbatore, (India)

More information

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2

1. Introduction. Volume 6 Issue 6, June Licensed Under Creative Commons Attribution CC BY. Sumit Kumar Srivastava 1, Amit Kumar 2 Minimization of Leakage Current of 6T SRAM using Optimal Technology Sumit Kumar Srivastava 1, Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology, Uttar Pradesh Technical

More information

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families

Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1 Module-3: Metal Oxide Semiconductor (MOS) & Emitter coupled logic (ECL) families 1. Introduction 2. Metal Oxide Semiconductor (MOS) logic 2.1. Enhancement and depletion mode 2.2. NMOS and PMOS inverter

More information

ISSCC 2001 / SESSION 11 / SRAM / 11.4

ISSCC 2001 / SESSION 11 / SRAM / 11.4 ISSCC 2001 / SESSION 11 / SRAM / 11.4 11.4 Abnormal Leakage Suppression (ALS) Scheme for Low Standby Current SRAMs Kouichi Kanda, Nguyen Duc Minh 1, Hiroshi Kawaguchi and Takayasu Sakurai University of

More information

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits

Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Design of Low Power Flip Flop Based on Modified GDI Primitive Cells and Its Implementation in Sequential Circuits Dr. Saravanan Savadipalayam Venkatachalam Principal and Professor, Department of Mechanical

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

The Influence of the Distance between the Strike Location and the Drain on 90nm Dual-Well Bulk CMOS

The Influence of the Distance between the Strike Location and the Drain on 90nm Dual-Well Bulk CMOS International Conference on Mathematics, Modelling, Simulation and Algorithms (MMSA 8) The Influence of the Distance between the Strike Location and the Drain on 9nm Dual-Well Bulk CMOS Qiqi Wen and Wanting

More information

Improving the ability of bulk built-in current sensors to detect Single Event Effects by using triple-well CMOS

Improving the ability of bulk built-in current sensors to detect Single Event Effects by using triple-well CMOS ESREF 2014 25th European Symposium on Reliability of Electron Devices, Failure Physics and Analysis Improving the ability of bulk built-in current sensors to detect Single Event Effects by using triple-well

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

Systems. Mary Jane Irwin ( Vijay Narayanan, Mahmut Kandemir, Yuan Xie

Systems. Mary Jane Irwin (  Vijay Narayanan, Mahmut Kandemir, Yuan Xie Designing Reliable, Power-Efficient Systems Mary Jane Irwin (www.cse.psu.edu/~mji) Vijay Narayanan, Mahmut Kandemir, Yuan Xie CSE Embedded and Mobile Computing Center () Penn State University Outline Motivation

More information

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER

STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER STUDY OF VOLTAGE AND CURRENT SENSE AMPLIFIER Sandeep kumar 1, Charanjeet Singh 2 1,2 ECE Department, DCRUST Murthal, Haryana Abstract Performance of sense amplifier has considerable impact on the speed

More information

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator

The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator The Design of SET-CMOS Hybrid Logic Style of 1-Bit Comparator A. T. Fathima Thuslim Department of Electronics and communication Engineering St. Peters University, Avadi, Chennai, India Abstract: Single

More information

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications

A Low-Power High-speed Pipelined Accumulator Design Using CMOS Logic for DSP Applications International Journal of Research Studies in Computer Science and Engineering (IJRSCSE) Volume. 1, Issue 5, September 2014, PP 30-42 ISSN 2349-4840 (Print) & ISSN 2349-4859 (Online) www.arcjournals.org

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

500mA Low Noise LDO with Soft Start and Output Discharge Function

500mA Low Noise LDO with Soft Start and Output Discharge Function 500mA Low Noise LDO with Soft Start and Output Discharge Function Description The is a family of CMOS low dropout (LDO) regulators with a low dropout voltage of 250mV at 500mA designed for noise-sensitive

More information

MOS TRANSISTOR THEORY

MOS TRANSISTOR THEORY MOS TRANSISTOR THEORY Introduction A MOS transistor is a majority-carrier device, in which the current in a conducting channel between the source and the drain is modulated by a voltage applied to the

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Cost-Effective Radiation Hardening Technique for Combinational Logic

Cost-Effective Radiation Hardening Technique for Combinational Logic Cost-Effective Radiation Hardening Technique for Combinational Logic Quming Zhou and Kartik Mohanram Department of Electrical and Computer Engineering Rice University, Houston, TX 775 {quming, kmram}@rice.edu

More information

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits

Lecture 4. The CMOS Inverter. DC Transfer Curve: Load line. DC Operation: Voltage Transfer Characteristic. Noise in Digital Integrated Circuits Noise in Digital Integrated Circuits Lecture 4 The CMOS Inverter i(t) v(t) V DD Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail:

More information

Project UPSET: Understanding and Protecting Against Single Event Transients

Project UPSET: Understanding and Protecting Against Single Event Transients Project UPSET: Understanding and Protecting Against Single Event Transients Stevo Bailey stevo.bailey@eecs.berkeley.edu Ben Keller bkeller@eecs.berkeley.edu Garen Der-Khachadourian gdd9@berkeley.edu Abstract

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Implementation Of Radix-10 Matrix Code Using High Speed Adder For Error Correction

Implementation Of Radix-10 Matrix Code Using High Speed Adder For Error Correction Implementation Of Radix-10 Matrix Code Using High Speed For Error Correction Grace Abraham 1, Nimmy M Philip 2, Deepa N R 3 1 M.Tech Student (VLSI & ES), Dept. Of ECE, FISAT, MG University, Kerala, India

More information

UNIT 3: FIELD EFFECT TRANSISTORS

UNIT 3: FIELD EFFECT TRANSISTORS FIELD EFFECT TRANSISTOR: UNIT 3: FIELD EFFECT TRANSISTORS The field effect transistor is a semiconductor device, which depends for its operation on the control of current by an electric field. There are

More information

A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy

A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy Brock J. LaMeres and Clint Gauer Department of Electrical and Computer Engineering

More information

HIGH LOW Astable multivibrators HIGH LOW 1:1

HIGH LOW Astable multivibrators HIGH LOW 1:1 1. Multivibrators A multivibrator circuit oscillates between a HIGH state and a LOW state producing a continuous output. Astable multivibrators generally have an even 50% duty cycle, that is that 50% of

More information