Design of Robust CMOS Circuits for Soft Error Tolerance

Size: px
Start display at page:

Download "Design of Robust CMOS Circuits for Soft Error Tolerance"

Transcription

1 Design of Robust CMOS Circuits for Soft Error Tolerance Debopriyo Chowdhury, Mohammad Amin Arbabian Department of EECS, Univ. of California, Berkeley, CA 9472 Abstract- With the continuous downscaling of technology, lowering of supply voltage and increase of operating frequency, integrated circuits become increasingly susceptible to single event effects (SEE) caused by high energy particles like alpha particles, neutrons from cosmic rays etc. A SEU may cause a bit flip in some latch or memory element, thereby altering the state of the system, leading to a soft error. Soft errors in memory have traditionally been a much greater concern than soft errors in logic circuits. However, as process technology scales below 1 nanometers, voltage levels go down and noise margins reduce, soft errors in logic circuits seem to be a potential threat too. In this work, we propose to analyze the effect of various circuit parameters on soft error susceptibility of logic circuits. Also, we plan to design a robust latch that has simultaneous SET and SEU tolerance. Index Terms- Soft Errors, SET, SEU Hardened Latch I. INTRODUCTION The continuous down-scaling of technology over the past decade has brought about tremendous boost in performance and levels of integration of CMOS VLSI circuits. However, drastic device shrinking, increased complexity, lowering of power supply, and increased frequency of operation that accompany the technological evolution to the nanometer regime have reduced dramatically the reliability of deeply scaled ICs. A significant problem is related to soft errors induced by alpha particles and atmospheric neutrons [1]. There are of course many other sources of error in ICs (gate-oxide breakdowns, electromigration etc) but it is reported that whilst the total failure rate of other effects comes to -2 FIT (failure in 1 9 device hours), that of SER can easily exceed, FIT/chip if no mitigation mechanism is employed [4]. Soft error phenomenon in memory circuits was known to exist as early as 197 [2], also radiation effects on spacecraft and airplane electronics have been known for long [3]. Memory designers have been traditionally using error correcting codes (ECC) to mitigate the effects of soft errors in memory. However, in today s deep submicron world, soft errors pose a threat to combinational and sequential circuits also. Thus, soft-error tolerant logic design has been an area of active research during the past few years; however the problem still defies an elegant and universal solution. In this work, we aim to investigate the effect of soft errors on circuits as they are scaled into the nanometer regime. We want to investigate the various methods that have been proposed till date to take care of the problems and analyze the merits and demerits. Finally, we want to design robust latches and combinational blocks that have good soft-error tolerance. The report is organized into four sections; section II covers the background, origin and effect of soft error on nanometer circuits. Section III is a literature review with an analytical flavor, while section IV outlines the proposed work for the rest of the semester as well as shows some initial simulation results. II. SOFT ERRORS: ORIGIN AND EFFECT ON INTEGRATED CIRCUITS A soft error occurs when a radiation event causes enough of a charge distribution to reverse or flip the data state of a memory cell, latch, flip-flop or even a node in a combinational block. The error is soft because the circuit or the device is not permanently damaged by the radiation. Soft error is also referred to as single event effect. If the radiation is of a very high energy, more than a single bit may be affected, creating a mutibit upset (MBU). However, MBUs are only a very small fraction of the total observed soft error upset rate. At this time, it is very important to make a distinction between single event transients (SET) and single event upset (SEU). When a sensitive node, typically the drain of an off transistor, is in the proximity of the ionization track of an electrically charged particle, it collects a significant part of the generated charge carriers (holes or electrons), resulting in a transient current pulse on this node. Depending upon the energy of the particle, the transient pulse may reverse the state of that node and the error might propagate through a sensitized path till it reaches the flip-flop. Thus, a transient generated by a combinational block remains a transient till it is captured by the flip-flop. This is referred to as SET. If the transient reaches the flip-flop at a time it is active, then the transient will be captured by the sequential element and lead to an erroneous value being stored in the flip-flop. In this case, an SET has been transformed to an SEU. In addition, a particle strike may also change the state of a flip-flop when it is in the hold mode and directly cause a single event upset. SEU have received a lot of attention over the last few years; however with the shrinking of device sizes and increase of clock frequency, SETs have also become a threat to the reliability of digital circuits. In this work, we aim to build circuits that provide combined resilience against SET and SEU. 1

2 The terrestrial environment is dominated by different mechanisms that generate (either directly or as secondary reaction products) energetic ions that are responsible for inducing soft errors. The magnitude of the disturbance an ion causes depends on the linear energy transfer (LET) of that ion (usually measured in MeV/sq-cm/mg) [4]. Alpha particles emitted by trace uranium and thorium impurities in packaging materials have been shown to be one of the dominant causes of soft error in integrated circuits. The second significant source of SER is related to cosmic rays. Primary cosmic rays react with the earth s atmosphere to produce complex cascades of secondary and tertiary particles. At terrestrial altitudes, 1% of the primary flux reaches the sea-level, where the flux is composed of protons, neutrons, pions etc []. Neutrons are one of the higher flux components, and since neutrons have higher LETs, they are the most likely cosmic radiation to cause upsets in devices at terrestrial altitudes. Since neutrons themselves do not generate ionization in silicon, the neutron flux alone does not define the cosmic ray component of SER. Neutrons interact with chip materials elastically and inelastically, producing ions that cause soft errors. The effect of a particle striking a node in a circuit can be modeled as a narrow current pulse of a given magnitude and duration being injected into a node. For example, a transient pulse caused by an alpha-particle can be modeled as a double exponential injection current given by [19], I inj (t) = I o ( e -t/t1 - e -t/t2 ), where I o is the maximum current, T1 is the collection time constant for a junction and T2 is the ion track establishment time constant. There is a Q critical for every node, which is the minimum amount of charge necessary to flip the state of the node. With the continuous downscaling of technology, the capacitance values are decreasing. Hence, for a given amount of charge transferred by an energized particle, the voltage change caused at a node is much greater (Q= CV). Hence, nanometer circuits are getting more susceptible to such transient errors. A simulation result is presented in Section IV to demonstrate this trend. As noted earlier, a transient pulse generated at a node of a combinational circuit can cause error if it propagates and gets captured by a flip-flop. There are three mechanisms in combinational logic circuits which mask the glitches generated by particle strikes [6]: Because of logical masking, a glitch might not propagate to a latch because of a gate on the path not being sensitized to facilitate such glitch propagation, e.g. one of the inputs of a nand gate being at zero. Because of electrical masking, a generated glitch might get attenuated because of the delays of the gates on the path to the output Because of latching-window masking, a glitch that reaches the primary output might not cause an error because of the latch not being open. However, because of the decreasing number of gates in a pipeline stage, logical masking as well as electrical masking has been decreasing for new technology generations. Electrical masking has also been decreasing because of the reduction in node capacitance and supply voltages in every generation. Furthermore, increasing clock frequencies have reduced the time window in which latches are not transparent, thereby reducing latching-window masking. Thus it is clear that soft errors are really important in today s era of nanometer circuits. Even though the soft error rate (SER) in combinational circuits is currently smaller than that of sequential and memory elements, it is expected to rise 9 orders of magnitude between 1992 to 211, when it will equal the SER of unprotected memory elements [6]. Figure 1: Comparison of SRAM SER with that of logic [4] III. SOFT ERROR MITIGATION TECHNIQUES Research in the field of soft error has various directions: Studying the origin of soft errors from a physics standpoint and model their exact effect on semiconductor devices [4] [7] [8] Development of CAD tools for soft error rate analysis in integrated circuits like SERA [9], ASERTA [1], SERTOPT [1] Introducing circuit techniques and new topologies to combat soft-errors in nanometer circuits In this project, our focus is in the third direction and hence this section deals with circuit techniques to make circuits more robust and reliable. The simplest way to take care of soft errors in logic circuits is Triple-Modular Redundancy (TMR). It s the simplest error masking scheme that uses three functionally equivalent copies of the logic circuit and a 2-out-of-3 majority voter. Errors are masked and hence tolerated. Figure 2 shows the structure of a circuit that has error masking based on TMR. However, the hardware and power overhead of conventional TMR, that targets all modeled faults in logic circuits, exceeds 2%. Thus simple TMR cannot definitely be a choice in modern ultra-dense integrated circuits. 2

3 Mohanram [11] proposed a method for partial error masking, which uses a combination of two reduction techniques, cluster sharing reduction and dominant value reduction, to reduce the overhead costs associated with TMR, while minimizing soft error rate. Cluster sharing is based upon two observations. If in addition to t 3, we sample u s output at t 1 and t 2 too, where t 1 <t 2 < t 3, and we then perform majority voting among the three sampled values, we will be able to obtain the correct value of u s output whenever an SET pulse does not overlap more than one sampling instant. This type of timing slack utilization has also been used in other works like RAZOR [13]. Nikolaidis [14] has also proposed transient fault detection based on time redundancy. The basic principle is shown in Figure 3. The circuit feeds both the signal and its delayed version to the latch and then some mechanism need to be designed that will prevent the latch to respond to transient perturbations. CLK Figure 2. Block Diagram for TMR-based error masking Combinational Circuit Output Latch Comparator The first is that in the presence of the three masking mechanisms described earlier, the soft error susceptibility of certain nodes in the logic can be orders of magnitude higher than that of other nodes in the design. The second is that these nodes tend to be clustered together, with low observability and controllability values. Cluster sharing reduction heuristic selects clusters of nodes that have high susceptibility and triplicates them like in conventional TMR. However, it also identifies clusters that have low susceptibility and does not replicate them; but shares them. Dominant value reduction differentiates between the logic and logic 1 soft error susceptibility of a primary output. It exploits the fact that the logic and logic 1 soft error susceptibility of certain primary outputs is highly skewed, i.e. the soft error failure rate at an output when it is at logic (logic 1) is close to an order of magnitude higher than when it is at logic 1 (logic ). The work in [11] combines this technique with cluster sharing mechanism, to further reduce hardware redundancy in the system. The advantage of this technique is reduced area overhead as compared to simple TMR. Also, the method can easily be implemented using standard design automation tools. However, if a particle strike occurs in a non-triplicated portion of the design and has sufficient strength, it will (in the presence of a sensitized path) propagate to the outputs of all three copies and thus go undetected. The area/power overhead (including majority voters) may still be intolerable in many applications. Also this work does not address the issue of particle striking a latch and directly causing a single event upset. Time redundancy is another approach that has been used to combat soft errors in integrated circuits. Krishnamohan [12] has proposed one such methodology. Since the effect of an SET is only temporary, it is possible to prevent a soft error by exploiting timing slack available at the primary output (PO) gate u preceding the latch. Let t 1 denote the worst-case propagation delay from the primary inputs to the output of u. The slack for u is then t s =t 3 t 1 (t 3 is the sampling instant) i.e., in the absence of an SET, u s output will be stable at its correct value in the rime interval [t 1,t 3 ]. CLK+δ Combinationa l Circuit CLK Latch 1 δ Latch 1 Output Latch CLK Comparator Figure 3: Two time-redundancy based approaches in [14] Research efforts to modify latch and logic structures to make them more immune have also been carried out. Arima [1] proposed a cosmic ray immune latch structure shown in Figure 4. Figure 4: Cosmic Ray Immune Latch reported in [1] The basic principle of the proposed cosmic-ray immune latch is as follows. It keeps its state on the three storage nodes, PDH, NDH, and DH. When a neutron impact destroys one of their values, the value can be restored by the values on the other two nodes so that the correct value is kept on the output node. The point is that the two 3

4 unharmed nodes are not affected even if the charge inflow continues to a failure node. Suppose that a soft error occurs on PDH when the latch keeps, as is shown in Fig. 4. The error turns off P1, after which DH loses its drive, but the output Q is kept at. It keeps N3 off, which to ensure the value on NDH is conserved even though the error on PDH turned on N2. Because these two unchanged s on Q and NDH keep P2 and P3 on, the correct value,, is restored on PDH. An upset on PDH does not affect NDH and DH, and this recovery mechanism works unless the noise charge continues to inflow longer than the decay time of DH. Recovery after a soft error on NDH works in the same way. The topology of the latch is indeed very robust; however it does not take any protection if a glitch has been generated by a combinational block and is captured by the latch. The latch is thus not SET hardened. Similar efforts have also been carried out to modify gates like inverters, nand gates etc to make them inherently soft error immune [16]. An example of a modified inverter is shown in Figure. Similar such topologies have been proposed for other gates too and they have been combined to build a new logic family. The problem with this logic family is that it requires some sizing to operate correctly i.e. it is ratioed logic. IV. INITIAL SIMULATION RESULTS AND PROPOSED WORK In order to demonstrate the importance of soft errors with the shrinking of device sizes, we have calculated the critical charge (Q critical ) required to cause a flip in the state of a node in an inverter chain. The effect of an energized particle hitting a diffusion area has been modeled by injecting a current pulse at a node and measuring the magnitude of current required to invert the state of the node. The actual magnitude of the charge is given by the following equation. Q critical = I d is the drain current induced by the charged particle and T f is the flipping time, which for logic circuits is just the time of the pulse. Figure 6 shows a plot of the simulated results. These were generated using the Berkeley Predictive Models [17]. From here, its evident that soft errors become a threat for sub 1nm technology nodes. 2 T f I d dt 2 18 Qcritical (fc) Technology Node (nm) Figure 6. Reduction in Q critical with Technology Scaling Figure. Soft Error Immune Inverter proposed in [16] Also, the area is doubled and this means increase in the requirement for capacitance drive and also power. It is easily seen that full swing is sacrificed on some nodes which causes static power dissipation which is a large problem. In general although this scheme provides hardening for logic, it may not scale well with technology. Some other techniques involve adaptive control of Vdd or capacitance at nodes to reduce SER [6]; however it incurs speed penalty at every node and thus slows down the circuit considerably. There are two characteristics of interest for a single gate in terms of error tolerance, the glitch generation and glitch propagation characteristics. Glitch generation characteristic determines the shape and magnitude of a pulse generated at the output of a gate because of an energized particle hitting the output of the gate. On the other hand, glitch propagation characteristics determine the electrical masking properties of the gate, i.e. how the gate attenuates a glitch that is generated at some prior circuit node as it passes through the logic gate. We have investigated the variation in generated and propagated glitch width with varying C L and V dd in an inverter chain in 9nm technology. As shown in Figure 7, the glitch width goes up with reduction in supply voltage because of reduced drive strength. The effect of node capacitance on transient pulse 4

5 generation is more subtle; if the capacitance is low then with the fixed amount of charge the voltage wants to be large. However, the voltage at the node of interest cannot be too large because the junction diode of the transistors will clip the voltage to a certain value depending on relative potential levels. So initially, with increase of the capacitance the voltage will not rise (for small values of C) and therefore the generated pulse width will increase with slowing the node down. Generated Glitch Width (ps) CL Variations Vdd Variations 1.2fF 9nm CMOS Vdd/CL Vdd(.V-1.4V) CL(.fF-1fF) Figure 7. Variation in Generated Width with Varying Capacitance and Vdd Propagated Glitch Width (ps) CL Variations Vdd Variations Vdd(.V-1.4V) Cl(.fF-1fF) Figure 8. Variation in Propagated Width with Varying Capacitance and Vdd We intend to employ both space and time redundancy techniques concurrently to meet the reliability criteria with the least possible area and power overhead. In addition, we want to analyze the effect of circuit parameters like threshold voltage variation on combinational circuit SER. Finally, we want to build a data path like a ripple adder to test and compare the merits of our design. After a certain point where the voltage (V=Q/C) is not large to be clipped, the effect changes trend. Here with increasing the capacitance the voltage gets smaller and therefore the generated pulse width or in general the effect of the strike is mitigated. From this analysis it becomes evident that for each gate structure, induced charge and technology node, there exists a critical capacitance that will introduce the worst effect on that node in terms of glitch generation. Reducing Vdd or increasing C L slows down a gate, which obviously attenuates a glitch propagating through it, as shown in the simulation result in Figure 8. If t pulse < t delay then the glitch dies down, where t delay is the propagation delay of the gate. If t pulse > 2t delay then the glitch goes through un-attenuated and anywhere in between means that the glitch will be attenuated while it is passed thorough. Thus slow gates have better glitch propagation characteristics. These studies have motivated us to consider the use of soft-error filtering techniques to handle transient glitches. The concept of error filtering has been introduced in mid- 8 s [18], however the techniques outlined there are not compatible with modern integrated circuits. We propose to design latch structures that have combined SEU and SET tolerance. This means that the latch should be immune to transient pulses coming in from the combinational block, even if it coincides with the sampling window; at the same time, the latch should be hardened against any particle strikes inside it. V. REFERENCES [1] R.C. Baumann, Soft errors in advanced computer systems, IEEE Des. Test. Computer., vol.22, no. 3, pp , May/Jun. 2 [2] T.C. May and M.H. Woods Alpha-Particle Induced Soft Errors in Dynamic Memories, IEEE Transactions in Electronic Devices, VOL ED-26, No. 1, 1979, Page(s): 2-9 [3] K. Johansson, et al., n-flight and ground testing of single event upset sensitivity in static RAMs, IEEE Transactions on Nuclear Science, June 1998 [4] R.C. Baumann, Radiation-Induced Soft Errors in Advanced Semiconductor Technologies, IEEE Transactions on Device and Materials Reliability, Vol., No. 3, September 2 [] J.F. Ziegler and W.A. Lanford The effect of sea level cosmic rays electronic devices, Journal of Applied Physics, vol. 2, no. 6, pp , 1981 [6] Abdulkadir Utku Diril, Circuit Level Techniques for Power and Reliability Optimization of CMOS Logic, PhD Dissertation, Department of Electrical and Computer Engineering, Georgia Institute of Technology, May 2 [7] P.E.Dodd and L.W.Massengill, Basic mechanisms and modeling of single-event upset in digital microelectronics, IEEE Trans. Nucl. Sci., vol., no. 3, pp 83-62, Jun. 23 [8] F.W. Sexton, Destructive single-event effects in semiconductor devices and ICs, IEEE Trans. Nucl. Sci., vol., no. 3, pp , Jun. 23 [9] Ming Zhang and Naresh Shanbhag A Soft Error Rate Analysis (SERA) Methodology, in Proc. of IEEE International Conference on Computer-Aided Design, ICCAD 24, pp

6 [1] Y.S.Dhillon, A.U.Diril, A.Chatterjee, Soft-Error Analysis and Optimization of Nanometer Circuits, Design, Automation and Test in Europe Conference, DATE 2, pp , 2 [11] K. Mohanram and N.A.Touba Partial Error Masking to Reduce Soft Error Failure Rate in Logic Circuits, in Proc. 18 th IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems (DFT 3) [12] S. Krishnamohan and N.Mahapatra An efficient errormasking technique for improving the soft-error robustness of static CMOS circuits, IEEE International SOC Conference 24, pp [13] D.Ernst et al., Razor: A low-power pipeline based on circuit-level timing speculation, in Proc. 36 th Int. Symp. Microarchitecture, San Diego, CA, Dec. 23, pp 7-18 [14] M. Nicolaidis, Time redundancy based soft-error tolerant circuits to rescue very deep submicron, in Proc. 17 th IEEE VLSI Test Symp., Dana Point, CA, Apr. 1999, pp [1] Y. Arima, T. Yamashita, Y. Komatsu, T. Fujimoto, K. Ishibashi, Cosmic-ray immune latch circuit for 9nm technology and beyond, in Proc. IEEE International Solid-State Circuits Conference 24, pp [16] John Canaris, Single Event Upset Immune Logic Family, US Patent [17] Berkeley Predictive Model [18] Y.Savaria et al A Theory for the Design of Soft-Error Tolerant VLSI Circuits, IEEE Journal on Selected Areas in Communications, Vol. 4, No. 1, Jan 1982, pp [19] A. Maheshwari, I. Koren, W.Burleson Techniques for transient fault sensitivity analysis and reduction in VLSI circuits, in Proc.of IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, Nov. 23, pp

SOFT errors are radiation-induced transient errors caused by

SOFT errors are radiation-induced transient errors caused by IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 12, DECEMBER 2006 1461 Dual-Sampling Skewed CMOS Design for Soft-Error Tolerance Ming Zhang, Student Member, IEEE, and Naresh

More information

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 131 CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 7.1 INTRODUCTION Semiconductor memories are moving towards higher levels of integration. This increase in integration is achieved through reduction

More information

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin The Effect of Threshold Voltages on the Soft Error Rate - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin Outline Introduction Soft Errors High Threshold ( V t ) Charge Creation Logic Attenuation

More information

Design of Soft Error Tolerant Memory and Logic Circuits

Design of Soft Error Tolerant Memory and Logic Circuits Design of Soft Error Tolerant Memory and Logic Circuits Shah M. Jahinuzzaman PhD Student http://vlsi.uwaterloo.ca/~smjahinu Graduate Student Research Talks, E&CE January 16, 2006 CMOS Design and Reliability

More information

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Dan Holcomb Wenchao Li Sanjit A. Seshia Department of EECS University of California, Berkeley Design Automation and Test in

More information

Soft Error Susceptibility in SRAM-Based FPGAs. With the increasing emphasis on minimizing mass and volume along with

Soft Error Susceptibility in SRAM-Based FPGAs. With the increasing emphasis on minimizing mass and volume along with Talha Ansari CprE 583 Fall 2011 Soft Error Susceptibility in SRAM-Based FPGAs With the increasing emphasis on minimizing mass and volume along with cost in aerospace equipment, the use of FPGAs has slowly

More information

Partial Error Masking to Reduce Soft Error Failure Rate in Logic Circuits

Partial Error Masking to Reduce Soft Error Failure Rate in Logic Circuits Partial Error Masking to Reduce Soft Error Failure Rate in Circuits Kartik Mohanram * and Nur A. Touba Computer Engineering Research Center University of Texas, Austin, TX 78712-1084 E-mail: {kmram, touba}@ece.utexas.edu

More information

This work is supported in part by grants from GSRC and NSF (Career No )

This work is supported in part by grants from GSRC and NSF (Career No ) SEAT-LA: A Soft Error Analysis tool for Combinational Logic R. Rajaraman, J. S. Kim, N. Vijaykrishnan, Y. Xie, M. J. Irwin Microsystems Design Laboratory, Penn State University (ramanara, jskim, vijay,

More information

A Highly-Efficient Technique for Reducing Soft Errors in Static CMOS Circuits

A Highly-Efficient Technique for Reducing Soft Errors in Static CMOS Circuits A Highly-Efficient Technique for Reducing Soft Errors in Static MOS ircuits Srivathsan Krishnamohan and Nihar R. Mahapatra E-mail: {krishn37, nrm}@egr.msu.edu Department of Electrical & omputer Engineering,

More information

A BICS Design to Detect Soft Error in CMOS SRAM

A BICS Design to Detect Soft Error in CMOS SRAM A BICS Design to Detect Soft Error in CMOS SRAM N.M.Sivamangai 1, Dr. K. Gunavathi 2, P. Balakrishnan 3 1 Lecturer, 2 Professor, 3 M.E. Student Department of Electronics and Communication Engineering,

More information

Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC

Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC Yi Zhao and Sujit Dey Department of Electrical and Computer Engineering University of California,

More information

Method for Qcrit Measurement in Bulk CMOS Using a Switched Capacitor Circuit

Method for Qcrit Measurement in Bulk CMOS Using a Switched Capacitor Circuit Method for Qcrit Measurement in Bulk CMOS Using a Switched Capacitor Circuit John Keane Alan Drake AJ KleinOsowski Ethan H. Cannon * Fadi Gebara Chris Kim jkeane@ece.umn.edu adrake@us.ibm.com ajko@us.ibm.com

More information

Modeling the Impact of Device and Pipeline Scaling on the Soft Error Rate of Processor Elements

Modeling the Impact of Device and Pipeline Scaling on the Soft Error Rate of Processor Elements Modeling the Impact of Device and Pipeline Scaling on the Soft Error Rate of Processor Elements Department of Computer Sciences Technical Report 2002-19 Premkishore Shivakumar Michael Kistler Stephen W.

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. On-Line Testing 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. On-Line Testing 2 CMOS INTEGRATE CIRCUIT ESIGN TECHNIUES University of Ioannina On Line Testing ept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit esign Techniques Overview. Reliability issues

More information

Accurate and computer efficient modelling of single event transients in CMOS circuits

Accurate and computer efficient modelling of single event transients in CMOS circuits Accurate and computer efficient modelling of single event transients in CMOS circuits G.I. Wirth, M.G. Vieira and F.G. Lima Kastensmidt Abstract: A new analytical modelling approach to evaluate the impact

More information

Dependence of Cell Distance and Well-Contact Density on MCU Rates by Device Simulations and Neutron Experiments in a 65-nm Bulk Process

Dependence of Cell Distance and Well-Contact Density on MCU Rates by Device Simulations and Neutron Experiments in a 65-nm Bulk Process IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 61, NO. 4, AUGUST 2014 1583 Dependence of Cell Distance and Well-Contact Density on MCU Rates by Device Simulations and Neutron Experiments in a 65-nm Bulk Process

More information

DesignofaRad-HardLibraryof DigitalCellsforSpaceApplications

DesignofaRad-HardLibraryof DigitalCellsforSpaceApplications DesignofaRad-HardLibraryof DigitalCellsforSpaceApplications Alberto Stabile, Valentino Liberali and Cristiano Calligaro stabile@dti.unimi.it, liberali@dti.unimi.it, c.calligaro@redcatdevices.it Department

More information

Symbolic Simulation of the Propagation and Filtering of Transient Faulty Pulses

Symbolic Simulation of the Propagation and Filtering of Transient Faulty Pulses Workshop on System Effects of Logic Soft Errors, Urbana Champion, IL, pril 5, 25 Symbolic Simulation of the Propagation and Filtering of Transient Faulty Pulses in Zhang and Michael Orshansky ECE Department,

More information

Reliability and Energy Dissipation in Ultra Deep Submicron Designs

Reliability and Energy Dissipation in Ultra Deep Submicron Designs Reliability and Energy Dissipation in Ultra Deep Submicron Designs 5/19/2005 page 1 Reliability and Energy Dissipation in Ultra Deep Submicron Designs Frank Sill 31 th March 2005 5/19/2005 page 2 Outline

More information

Soft Error Rate Determination for Nanometer CMOS VLSI Logic

Soft Error Rate Determination for Nanometer CMOS VLSI Logic 4th Southeastern Symposium on System Theory University of New Orleans New Orleans, LA, USA, March 6-8, 8 TA.5 Soft Error Rate Determination for Nanometer CMOS VLSI Logic Fan Wang and Vishwani D. Agrawal

More information

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Amir Hasanbegovic (amirh@ifi.uio.no) Nanoelectronics Group, Dept. of Informatics, University of Oslo November 5, 2010 Overview

More information

Low Power Dissipation SEU-hardened CMOS Latch

Low Power Dissipation SEU-hardened CMOS Latch PIERS ONLINE, VOL. 3, NO. 7, 2007 1080 Low Power Dissipation SEU-hardened CMOS Latch Yuhong Li, Suge Yue, Yuanfu Zhao, and Guozhen Liang Beijing Microelectronics Technology Institute, 100076, China Abstract

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Single Event Upset Mitigation in Low Power SRAM Design

Single Event Upset Mitigation in Low Power SRAM Design 2014 IEEE 28-th Convention of Electrical and Electronics Engineers in Israel Single Event Upset Mitigation in Low Power SRAM esign Lior Atias, Adam Teman, and Alexander Fish Emerging Nanoscaled Integrated

More information

1564 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 53, NO. 3, JUNE 2006

1564 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 53, NO. 3, JUNE 2006 1564 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 53, NO. 3, JUNE 2006 Schemes for Eliminating Transient-Width Clock Overhead From SET-Tolerant Memory-Based Systems Daniel R. Blum, Student Member, IEEE,

More information

Using Built-in Sensors to Cope with Long Duration Transient Faults in Future Technologies

Using Built-in Sensors to Cope with Long Duration Transient Faults in Future Technologies Using Built-in Sensors to Cope with Long Duration Transient Faults in Future Technologies Lisboa, C. A. 1, Kastensmidt, F. L. 1, Henes Neto, E. 2, Wirth, G. 3, Carro, L. 1 {calisboa, fglima}@inf.ufrgs.br,

More information

A New Low Power High Reliability Flip-Flop Robust Against Process Variations

A New Low Power High Reliability Flip-Flop Robust Against Process Variations http://jecei.srttu.edu Journal of Electrical and Computer Engineering Innovations SRTTU JECEI, Vol. 4, No. 2, 2016 Regular Paper A New Low Power High Reliability Flip-Flop Robust Against Process Variations

More information

Modeling the Effect of Technology Trends on Soft Error Rate of Combinational Logic

Modeling the Effect of Technology Trends on Soft Error Rate of Combinational Logic Modeling the Effect of Technology Trends on Soft Error Rate of Combinational Logic Premkishore Shivakumar Michael Kistler Stephen W. Keckler Doug Burger Lorenzo Alvisi Department of Computer Sciences University

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. Abstract This paper presents a novel SRAM design for nanoscale CMOS. The new design addresses

More information

Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems

Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems Ihsen Alouani, Smail Niar, Yassin El-Hillali, and Atika Rivenq 1 I. Alouani and S. Niar LAMIH lab University of Valenciennes

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

SOFT ERROR TOLERANT DESIGN OF STATIC RANDOM ACCESS MEMORY BITCELL. Lixiang Li

SOFT ERROR TOLERANT DESIGN OF STATIC RANDOM ACCESS MEMORY BITCELL. Lixiang Li SOFT ERROR TOLERANT DESIGN OF STATIC RANDOM ACCESS MEMORY BITCELL by Lixiang Li Submitted in partial fulfilment of the requirements for the degree of Master of Applied Science at Dalhousie University Halifax,

More information

Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic

Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic Appears in the Proceedings of the 2002 International Conference on Dependable Systems and Networks Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic Premkishore Shivakumar

More information

Systems. Mary Jane Irwin ( Vijay Narayanan, Mahmut Kandemir, Yuan Xie

Systems. Mary Jane Irwin (  Vijay Narayanan, Mahmut Kandemir, Yuan Xie Designing Reliable, Power-Efficient Systems Mary Jane Irwin (www.cse.psu.edu/~mji) Vijay Narayanan, Mahmut Kandemir, Yuan Xie CSE Embedded and Mobile Computing Center () Penn State University Outline Motivation

More information

Cost-Effective Radiation Hardening Technique for Combinational Logic

Cost-Effective Radiation Hardening Technique for Combinational Logic Cost-Effective Radiation Hardening Technique for Combinational Logic Quming Zhou and Kartik Mohanram Department of Electrical and Computer Engineering Rice University, Houston, TX 775 {quming, kmram}@rice.edu

More information

Partial evaluation based triple modular redundancy for single event upset mitigation

Partial evaluation based triple modular redundancy for single event upset mitigation University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 2005 Partial evaluation based triple modular redundancy for single event upset mitigation Sujana Kakarla University

More information

Multiple Transient Faults in Combinational and Sequential Circuits: A Systematic Approach

Multiple Transient Faults in Combinational and Sequential Circuits: A Systematic Approach 5847 1 Multiple Transient Faults in Combinational and Sequential Circuits: A Systematic Approach Natasa Miskov-Zivanov, Member, IEEE, Diana Marculescu, Senior Member, IEEE Abstract Transient faults in

More information

University of Minnesota, Minneapolis, MN 2. Intel Corporation, Hillsboro, OR 3. Los Alamos National Laboratory, Los Alamos, NM

University of Minnesota, Minneapolis, MN 2. Intel Corporation, Hillsboro, OR 3. Los Alamos National Laboratory, Los Alamos, NM Statistical Characterization of Radiation- Induced Pulse Waveforms and Flip-Flop Soft Errors in 14nm Tri-Gate CMOS Using a Back- Sampling Chain (BSC) Technique Saurabh Kumar 1, M. Cho 2, L. Everson 1,

More information

A Circuit for Concurrent Detection of Soft and Timing Errors in Digital CMOS ICs

A Circuit for Concurrent Detection of Soft and Timing Errors in Digital CMOS ICs JOURNAL OF ELECTRONIC TESTING: Theory and Applications 20, 523 531, 2004 c 2004 Kluwer Academic Publishers. Manufactured in The United States. A Circuit for Concurrent Detection of Soft and Timing Errors

More information

Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage

Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage Rizwan A. Ashraf, A. Al-Zahrani, and Ronald F. DeMara Department of Electrical Engineering and Computer Science

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Fault Tolerance Systems for Combinational Circuits

Fault Tolerance Systems for Combinational Circuits ISSN (e): 2250 3005 Volume, 07 Issue, 09 September 2017 International Journal of Computational Engineering Research (IJCER) Fault Tolerance Systems for Combinational Circuits Jyoti M Gadekar 1, Prof. S.S.

More information

IJMIE Volume 2, Issue 3 ISSN:

IJMIE Volume 2, Issue 3 ISSN: IJMIE Volume 2, Issue 3 ISSN: 2249-0558 VLSI DESIGN OF LOW POWER HIGH SPEED DOMINO LOGIC Ms. Rakhi R. Agrawal* Dr. S. A. Ladhake** Abstract: Simple to implement, low cost designs in CMOS Domino logic are

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

STUDY OF SINGLE EVENT UPSETS (SEUS) A SURVEY AND ANALYSIS

STUDY OF SINGLE EVENT UPSETS (SEUS) A SURVEY AND ANALYSIS STUDY OF SINGLE EVENT UPSETS (SEUS) A SURVEY AND ANALYSIS by Sheldon Mark Foulds, B.ASc., Ghulam Ishaq Khan University, Topi, Swabi, Pakistan, 2009, A project presented to Ryerson University in partial

More information

EECS 427 Lecture 22: Low and Multiple-Vdd Design

EECS 427 Lecture 22: Low and Multiple-Vdd Design EECS 427 Lecture 22: Low and Multiple-Vdd Design Reading: 11.7.1 EECS 427 W07 Lecture 22 1 Last Time Low power ALUs Glitch power Clock gating Bus recoding The low power design space Dynamic vs static EECS

More information

Adiabatic Logic Circuits for Low Power, High Speed Applications

Adiabatic Logic Circuits for Low Power, High Speed Applications IJSTE - International Journal of Science Technology & Engineering Volume 3 Issue 10 April 2017 ISSN (online): 2349-784X Adiabatic Logic Circuits for Low Power, High Speed Applications Satyendra Kumar Ram

More information

t Microprocessor Research Laboratories, Intel Corporation, Hillsboro, OR

t Microprocessor Research Laboratories, Intel Corporation, Hillsboro, OR AN ENERGY-EFFICIENT LEAKAGE-TOLERANT DYNAMIC CIRCUIT TECHNIQUE Lei Wang, Ram K. Krishnamurthyt, K. Soumyanatht, and Naresh R. Shanbhag Coordinated Science Laboratory, Department of Electrical and Computer

More information

SINGLE EVENT UPSET (SEU): DIAGNOSTIC AND ERROR CORRECTION SYSTEM FOR AVIONCS DEVICE

SINGLE EVENT UPSET (SEU): DIAGNOSTIC AND ERROR CORRECTION SYSTEM FOR AVIONCS DEVICE XIX IMEKO World Congress Fundamental and Applied Metrology September 6 11, 2009, Lisbon, Portugal SINGLE EVENT UPSET (SEU): DIAGNOSTIC AND ERROR CORRECTION SYSTEM FOR AVIONCS DEVICE Lorenzo Ciani 1, Marcantonio

More information

A Review of Clock Gating Techniques in Low Power Applications

A Review of Clock Gating Techniques in Low Power Applications A Review of Clock Gating Techniques in Low Power Applications Saurabh Kshirsagar 1, Dr. M B Mali 2 P.G. Student, Department of Electronics and Telecommunication, SCOE, Pune, Maharashtra, India 1 Head of

More information

A New Asymmetric SRAM Cell to Reduce Soft Errors and Leakage Power in FPGA

A New Asymmetric SRAM Cell to Reduce Soft Errors and Leakage Power in FPGA A New Asymmetric SRAM Cell to Reduce Soft Errors and Leakage Power in FPGA Balkaran S. Gill, Chris Papachristou, and Francis G. Wolff Department of Electrical Engineering and Computer Science Case Western

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction

An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction Melanie Berg, MEI Technologies in support of NASA/GSFC To be presented by Melanie Berg at the

More information

SOFT ERROR TOLERANT HIGHLY RELIABLE MULTIPORT MEMORY CELL DESIGN

SOFT ERROR TOLERANT HIGHLY RELIABLE MULTIPORT MEMORY CELL DESIGN SOFT ERROR TOLERANT HIGHLY RELIABLE MULTIPORT MEMORY CELL DESIGN Murugeswaran S 1, Shiymala S 2 1 PG Scholar, 2 Professor, Department of VLSI Design, SBM College of Technology, Dindugal, ABSTRACT Tamilnadu,

More information

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 3, MARCH

IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 3, MARCH IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 12, NO. 3, MARCH 2004 299 Trading Off Transient Fault Tolerance and Power Consumption in Deep Submicron (DSM) VLSI Circuits Atul Maheshwari,

More information

Energy-Recovery CMOS Design

Energy-Recovery CMOS Design Energy-Recovery CMOS Design Jay Moon, Bill Athas * Univ of Southern California * Apple Computer, Inc. jsmoon@usc.edu / athas@apple.com March 05, 2001 UCLA EE215B jsmoon@usc.edu / athas@apple.com 1 Outline

More information

Radiation Effects Measurement Test Structure. using GF 32-nm SOI process. Lovish Masand

Radiation Effects Measurement Test Structure. using GF 32-nm SOI process. Lovish Masand Radiation Effects Measurement Test Structure using GF 32-nm SOI process by Lovish Masand A Thesis Presented in Partial Fulfillment of the Requirements for the Degree Master of Science Approved June 2017

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL)

Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) International Journal of Electronics Engineering, (1), 010, pp. 19-3 Robust Subthreshold Circuit Designing Using Sub-threshold Source Coupled Logic (STSCL) Ashutosh Nandi 1, Gaurav Saini, Amit Kumar Jaiswal

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information

AS technology scales, transistors are getting smaller and

AS technology scales, transistors are getting smaller and 202 IEEE TRANSACTIONS ON DEPENDABLE AND SECURE COMPUTING, VOL. 6, NO. 3, JULY-SEPTEMBER 2009 Modeling Soft Errors at the Device and Logic Levels for Combinational Circuits Rajaraman Ramanarayanan, Member,

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

Circuit-level Design Approaches for Radiation-hard Digital Electronics

Circuit-level Design Approaches for Radiation-hard Digital Electronics Circuit-level Design Approaches for Radiation-hard Digital Electronics Rajesh Garg Nikhil Jayakumar Sunil P Khatri Gwan Choi (rajeshgarg at tamu.edu) (nikhil at ece.tamu.edu) (sunilkhatri at tamu.edu)

More information

Low-Power Soft Error Hardened Latch

Low-Power Soft Error Hardened Latch Low-Power Soft Error Hardened Latch Hossein Karimiyan Alidash 1 and Vojin G. Oklobdzija 2 1 ECE Department, Isfahan University of Technology, Isfahan 74155, Iran 2 University of Texas at Dallas, Richardson,

More information

Variation Tolerant Hierarchical Voltage Monitoring Circuit for Soft Error Detection

Variation Tolerant Hierarchical Voltage Monitoring Circuit for Soft Error Detection Variation Tolerant Hierarchical Voltage onitoring Circuit for Soft Error Detection by Ashay Narsale Submitted in Partial Fulfillment of the Requirements for the Degree aster of Science Supervised by Professor

More information

An Accurate Single Event Effect Digital Design Flow for Reliable System Level Design

An Accurate Single Event Effect Digital Design Flow for Reliable System Level Design An Accurate Single Event Effect Digital Design Flow for Reliable System Level Design Julian Pontes and Ney Calazans Faculty of Informatics - FACIN, - PUCRS Porto Alegre, RS, Brazil {julian.pontes, ney.calazans@pucrs.br

More information

Highly Reliable Arithmetic Multipliers for Future Technologies

Highly Reliable Arithmetic Multipliers for Future Technologies Highly Reliable Arithmetic Multipliers for Future Technologies Lisbôa, C. A. L. Instituto de Informática - UFRGS Av. Bento Gonçalves, 9500 - Bl. IV, Pr. 43412 91501-970 - Porto Alegre - RS - Brasil calisboa@inf.ufrgs.br

More information

A Radiation Tolerant 4.8 Gb/s Serializer for the Giga-Bit Transceiver

A Radiation Tolerant 4.8 Gb/s Serializer for the Giga-Bit Transceiver A Radiation Tolerant 4.8 Gb/s Serializer for the Giga-Bit Transceiver Ö. Çobanoǧlu a, P. Moreira a, F. Faccio a a CERN, PH-ESE-ME, 1211 Geneva 23, Switzerland Abstract ozgur.cobanoglu@cern.ch This paper

More information

Design of CMOS Based PLC Receiver

Design of CMOS Based PLC Receiver Available online at: http://www.ijmtst.com/vol3issue10.html International Journal for Modern Trends in Science and Technology ISSN: 2455-3778 :: Volume: 03, Issue No: 10, October 2017 Design of CMOS Based

More information

Project UPSET: Understanding and Protecting Against Single Event Transients

Project UPSET: Understanding and Protecting Against Single Event Transients Project UPSET: Understanding and Protecting Against Single Event Transients Stevo Bailey stevo.bailey@eecs.berkeley.edu Ben Keller bkeller@eecs.berkeley.edu Garen Der-Khachadourian gdd9@berkeley.edu Abstract

More information

CMOS circuits and technology limits

CMOS circuits and technology limits Section I CMOS circuits and technology limits 1 Energy efficiency limits of digital circuits based on CMOS transistors Elad Alon 1.1 Overview Over the past several decades, CMOS (complementary metal oxide

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

An Overview of Static Power Dissipation

An Overview of Static Power Dissipation An Overview of Static Power Dissipation Jayanth Srinivasan 1 Introduction Power consumption is an increasingly important issue in general purpose processors, particularly in the mobile computing segment.

More information

Optimizing Design of Fault-tolerant Computing Systems

Optimizing Design of Fault-tolerant Computing Systems Optimizing Design of Fault-tolerant Computing Systems Milos Krstic HDT 2017, 1st Workshop on Hardware Design and Theory, Agenda 1 Motivation 2 Fault Tolerant Methods 3 Methods for reducing the overhead

More information

Early SEU Fault Injection in Digital, Analog and Mixed Signal Circuits: a Global Flow

Early SEU Fault Injection in Digital, Analog and Mixed Signal Circuits: a Global Flow Early SEU Fault Injection in Digital, Analog and Mixed Signal Circuits: a Global Flow R. Leveugle, A. Ammari TIMA Laboratory 46, Avenue Félix Viallet - 38031 Grenoble Cedex FRANCE - E-mail: Regis.Leveugle@imag.fr

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE

A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE Mei-Wei Chen 1, Ming-Hung Chang 1, Pei-Chen Wu 1, Yi-Ping Kuo 1, Chun-Lin Yang 1, Yuan-Hua Chu 2, and Wei Hwang

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

A BUILT-IN SELF-TEST (BIST) TECHNIQUE FOR SINGLE-EVENT TRANSIENT TESTING IN DIGITAL CIRCUITS. Anitha Balasubramanian. Thesis

A BUILT-IN SELF-TEST (BIST) TECHNIQUE FOR SINGLE-EVENT TRANSIENT TESTING IN DIGITAL CIRCUITS. Anitha Balasubramanian. Thesis A BUILT-IN SELF-TEST (BIST) TECHNIQUE FOR SINGLE-EVENT TRANSIENT TESTING IN DIGITAL CIRCUITS By Anitha Balasubramanian Thesis Submitted to the Faculty of the Graduate School of Vanderbilt University in

More information

Soft Error Rate Analysis for Combinational Logic Using An Accurate Electrical Masking Model

Soft Error Rate Analysis for Combinational Logic Using An Accurate Electrical Masking Model Soft Error Rate Analysis for Combinational Logic Using An Accurate Electrical Masking Model Feng Wang, Yuan Xie, R. Rajaraman and B. Vaidyanathan The Pennsylvania State University, University Park, PA

More information

The Physics of Single Event Burnout (SEB)

The Physics of Single Event Burnout (SEB) Engineered Excellence A Journal for Process and Device Engineers The Physics of Single Event Burnout (SEB) Introduction Single Event Burnout in a diode, requires a specific set of circumstances to occur,

More information

WHEN high-energy neutrons (present in terrestrial cosmic

WHEN high-energy neutrons (present in terrestrial cosmic IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VO. 25, NO. 1, JANUARY 2006 155 Gate Sizing to Radiation Harden Combinational ogic Quming Zhou, Student Member, IEEE, and

More information

An Efficient Static Algorithm for Computing the Soft Error Rates of Combinational Circuits

An Efficient Static Algorithm for Computing the Soft Error Rates of Combinational Circuits An Efficient Static Algorithm for Computing the Soft Error Rates of Combinational Circuits Rajeev R. Rao, Kaviraj Chopra, David Blaauw, Dennis Sylvester Department of EECS, University of Michigan, Ann

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275 Single Event Effects in a 0.25 µm Silicon-On-Sapphire CMOS Technology Wickham Chen 1, Tiankuan Liu 2, Ping Gui 1, Annie C. Xiang 2, Cheng-AnYang 2, Junheng Zhang 1, Peiqing Zhu 1, Jingbo Ye 2, and Ryszard

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

of the 1989 International Conference on Systolic Arrays, Killarney, Ireland Architectures using four state coding, a data driven technique for

of the 1989 International Conference on Systolic Arrays, Killarney, Ireland Architectures using four state coding, a data driven technique for - Proceedings of the 1989 International Conference on Systolic Arrays, Killarney, Ireland EXPLOITING THE INHERENT FAULT ARRAYS. TOLERANCE OF ASYNCHRONOUS Rodney Me GoodmAn Anthony McAuley Kathleen Kramer

More information

A Novel Approach for High Speed and Low Power 4-Bit Multiplier

A Novel Approach for High Speed and Low Power 4-Bit Multiplier IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) ISSN: 2319 4200, ISBN No. : 2319 4197 Volume 1, Issue 3 (Nov. - Dec. 2012), PP 13-26 A Novel Approach for High Speed and Low Power 4-Bit Multiplier

More information

Device and Architecture Concurrent Optimization for FPGA Transient Soft Error Rate

Device and Architecture Concurrent Optimization for FPGA Transient Soft Error Rate Device and Architecture Concurrent Optimization for FGA Transient Soft Error Rate Yan Lin and Lei He Electrical Engineering Department University of California, Los Angeles {ylin, lhe@ee.ucla.edu, http://eda.ee.ucla.edu

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information