Device and Architecture Concurrent Optimization for FPGA Transient Soft Error Rate

Size: px
Start display at page:

Download "Device and Architecture Concurrent Optimization for FPGA Transient Soft Error Rate"

Transcription

1 Device and Architecture Concurrent Optimization for FGA Transient Soft Error Rate Yan Lin and Lei He Electrical Engineering Department University of California, Los Angeles {ylin, ABSTRACT Late CMOS scaling reduces device reliability, and existing work has studied the permanent SER (soft error rate) for configuration memory in FGA extensively. In this paper, we show that continuous CMOS scaling dramatically increases the significance of FGA chip-level transient soft errors in circuit elements other than configuration memory, and transient SER can no longer be ignored. We then develop an efficient, yet accurate, transient SER evaluation method, called trace based methodology, considering logic, electrical and latch-window maskings. By collecting traces on logic probability and sensitivity and re-using these traces for different device settings, we finally perform device and architecture concurrent optimization considering hundreds of device and architecture combinations. Compared to the commonly used FGA architecture and device settings, device and architecture concurrent optimization can reduce the transient SER by 2.8X and reduce the product of energy, delay and transient SER by 1.8X. 1. INTRODUCTION Late CMOS scaling results in the reduction of device reliability [1]. Single-event upset (SEU) due to cosmic rays or high energy particles [2] [3] is one of the most important reliability issues. A transient bit-flip error at a gate output or directly at a latch or flipflop due to SEU may propagate through the circuit and be captured by a latch or flip-flop, which may affect the circuit functionality for the next several clock cycles and result in chip-level transient soft errors. Unlike ASICs, SEU may affect configuration SRAMs in FGAs and may result in permanent soft error rate, which cannot be recovered unless re-writing those affected SRAMs [4]. On the other hand, SEU may still result in transient SER if the combinational part of an FGA circuit is affected. Figure 1 compares permanent and transient SER at the sea-level for FGAs under different ITRS technology nodes [5] 1. SER is measured in number of failures in one billion hours (FIT). It is clear that transient SER is becoming increasingly significant compared to permanent SER and can be no longer ignored. A similar trend has been observed for microprocessors [6]. Moreover, permanent SER detection and correction using various system-level redundancy techniques [4][3] have been extensively studied for FGAs. In this paper, we therefore consider This paper is partially supported by NSF grant CCR and Actel under UC MICRO program. Address comments to lhe@ee.ucla.edu. 1 Along with the charge collection slope trend in [6], we use our model and simulation algorithm for transient SER analysis, and the SER model for SRAMs in [2] for permanent SER analysis. transient SER optimization for FGAs and use SER to represent transient SER for the rest of the paper unless otherwise specified. Chip-levelSER (FIT) 1.E+03 1.E+01 1.E-01 1.E-03 1.E-05 1.E-07 1.E nm 350nm 250nm 180nm 130nm 100nm 70nm 50nm 32nm 25nm erm anentser TransientSER Figure 1: Comparison between permanent and transient sea-level SER for FGAs (N=8, k=4) across technology nodes using MCNC benchmarks. SEU strongly depends on device parameters, e.g. supply voltage, V dd, and threshold voltage, V th [2], as well as FGA architecture parameters, e.g. cluster/lut sizes. In this paper, we propose the first study on device and architecture concurrent optimization for FGA SER. Similarto [7], we define hyper-architecture as the combination of device (V dd and V th ) and architectural parameters (cluster size N and LUT size k). The total number of hyper-architecture combinations can be easily over a few hundred. However, all the existing SER measurement methods [8] and SER simulation algorithms based on fault injection [9] and analytical methods such as [10] are all inefficient to explore the huge hyper-architecture solution space. An accurate, yet extremely efficient, SER evaluation method is required for the concurrent optimization. We develop a trace based simulation for FGA chip-level SER considering logic, electrical and latch-window maskings. Compared to the Monte Carlo SER simulation based on fault injection, the trace based simulation is highly accurate and efficient with an average error of 0.04% and a speedup of 1000X for SER analysis. We then perform device and architecture concurrent optimization for FGA chip-level SER. Overall, device and architecture concurrent tuning leads to a 4.6X difference in SER. Compared to the baseline hyper-architecture similar to a commercial one [11] with delay and energy optimized, the hyper-architecture with minimum SER (min-ser) reduces SER by 2.8X. In general, a larger cluster/lut size, or a higher V dd /V th may lead to a smaller chip-level SER. We further consider the energy, delay and SER tradeoff and reduce the product of energy, delay and SER (ED SER) by 1.8X. The rest of the paper is organized as follows. Section 2 presents the modeling of transient SEU. Section 3 presents the Monte Carlo and trace based SER simulation algorithms. Section 4 discusses the experimental results. We conclude the paper in Section 5.

2 2. TRANSIENT SEU MODELING 2.1 Charge to Voltage ulse Model We use the model similar to [6] for transient single event upset (SEU) in combinational circuits. Further study leveraging more accurate models [12] will be conducted. When a particle strikes a sensitive region of a transistor, a current pulse is generated and can be approximated with a one-parameter function as shown in (1), I(t) Q r t T T e t/t (1) where Q is the amount of charge and T is the time constant for the charge collection process. This current pulse due to the charge Q then generates a voltage pulse at the transistor output, which can be simulated by SICE using a transient current source [13]. The shape of the transient voltage pulse can be modeled using rise time, fall time and duration [10], or a Weibull probability density function [14]. In our study, we use effective duration [13] to model the voltage pulse shape, where the effective duration is the duration during which the voltage level is greater than V dd /2. Theshapeof a voltage pulse depends on V dd, V th,chargeq and loading capacitance C load. For the simplicity of presentation, we use duration to represent effective duration whenever it is not ambiguous. As in SRAMs, the critical charge, Q crit, for combinational circuits is the smallest charge that can generate a voltage pulse with duration greater than zero [6]. A larger charge Q may result in a voltage pulse with longer duration. For combinational circuits, we also measure Q max, which can generate the voltage pulse with the longest duration. We use SICE simulation for SER library pre-calibration. Given a charge Q, the SER induced by any charge no smaller than Q can be calculated as, SER(Q) =F A K e Q/Qs (2) where F is the neutron flux, A is the transistor drain area, K is a technology independent constant and is the same for all device settings, and Q s is the charge collection slope. A transistor with alargerq s is more effective in collecting charge and has a larger SER. Q s depends on V th (due to channel doping density) and V dd. A transistor with higher V th due to a larger channel doping density may enhance the channel resistance, and thus reduce the collection process and result in a lower Q s [15]. We use the models in [2] with linear interpolation to calculate Q s for different V dd and V th.note that MOS and NMOS transistors have different Q s. SER(Q) in (2) depends on the current logic value of the gate output. The probability of a voltage pulse with duration W due to the charge between Q and Q + Q can be calculated as, charge (W )=SER(Q) SER(Q + Q) (3) The probability of a voltage pulse with the maximum duration W max due to any charge larger than Q max can be calculated as, charge (W max) =SER(Q max) (4) While not presented in the paper, our simulation results show that Q crit and Q max depend on C load and V dd, but not V th.alarger C load or V dd implies greater energy (Q) that is required to flip the gate output. On the other hand, the maximum duration, W max, of the voltage pulse depends on C load, V dd and V th.alargerc load, alargerv th or a smaller V dd mayresultinalargerw max. Inthe other words, a gate with a larger delay may have a larger W max. 2.2 Masking Mechanisms A transient bit-flip error at a gate output may not affect a combinational logic circuit unless this transient voltage pulse can propagate through the circuit and be captured by a memory circuit, e.g. a latch or a flip-flop. There are several masking mechanisms including logic masking, electrical masking and latch-window masking for combinational circuits. Logic masking occurs when a transient voltage pulse at one input of a gate is blocked by this gate, i.e. the logic value of the gate output is completely determined by its other inputs under this particular input vector. Logic masking depends on the circuit input vector and also circuit topology. Electrical masking occurs when a transient voltage pulse is attenuated in both amplitude and duration by the subsequent logic gate due to the electrical properties of the gate. It has been shown in [13] that the effective duration of a voltage pulse can be used to capture the voltage pulse characteristics in a wide range of logic gates and charges. In addition, the duration degradation of a transient voltage pulse is directly affected by its own duration, W in, and the fanout gate delay, T dly. We model the duration of the output voltage pulse, W out, based on W in and T dly as follows, W out = W in f( Win ) (5) T dly where f(w in/t dly ) is a function of the ratio between W in and T dly. We use SICE simulation to measure W out with various W in, T dly and a wide range of logic gates, and then extract an empirical piece wise linear (WL) function. After successfully propagating through the combinational circuit considering logic and electrical maskings, a transient voltage pulse can only be captured by a latch or a flip-flop during a small window around its closing clock edge, called a latch window. Thesize of this latch window is the minimum duration of a pulse that can be latched. In our study, we use the latch-window masking model from [6]. The probability that a voltage pulse at the latch input can be captured by this latch is calculated as follows, 8 < 0 if W<L W L latch (W )= if L W C + L (6) : C 1 if W>C+ L where W is the duration of the voltage pulse at the latch input, L is the size of latch window, and C is the clock period. When W is smaller than L, the probability of a soft error, i.e. being captured by the latch, is zero. On the other hand, a pulse with duration larger than C + L can cover one full latch window and hence has a probability of one for a soft error. Since pulse arrival times are uniformly distributed in a clock cycle, the probability that a pulse with any intermediate duration may result in a soft error is the linear interpolation between two extreme cases. 3. CHI-LEVEL SER SIMULATION 3.1 Monte Carlo Simulation We develop the Monte Carlo SER simulation based on fault injection. An input vector is randomly generated for primary inputs in each clock cycle. Based on this input vector, we traverse the circuit in the topological order and evaluate the output logic value of each gate. The critical charge Q crit and the maximum charge Q max can be obtained in the pre-calibrated SER library given the current device setting, i.e. V dd and V th,andc load of this gate. We then evenly sample m points between Q crit and Q max, wherethe i th point has the charge Q i as follows, Q i = Q crit + i Qmax Qcrit m 1 0 i m 1 (7) The duration, W i, of the generated transient voltage pulse due to Q i can also be obtained from the pre-calibrated library. The probability

3 for such a charge Q i being collected is charge (W i) that can be calculated by (3) or (4) considering the current logic value of the gate. We then propagate the voltage pulse with duration W i through the fanout cone of the gate considering logic masking and electrical masking mechanisms. If this voltage pulse successfully propagates through the circuit and reaches a flip-flop input, the probability of this voltage pulse being captured by the flip-flop and resulting a soft error is latch (W i ), which can be calculated by (6). W i is the voltage pulse duration at the flip-flop input. The chip-level SER of a voltage pulse with duration W i due to charge Q i for one gate within one clock cycle can be calculated as follows, SER gate(w i)= charge (W i) X latch (W i ) (8) latch where all the flip-flops within the fanout cone of the gate are considered. The average chip-level transient SER is contributed by all gates in all clock cycles and can be calculated as follows, SER chip = N gate i SERgate(Wi) N where N is the total number of simulated input vectors. Figure 2 shows the the Monte Carlo simulation algorithm and the overall complexity is O(Nm V ( V + E )),wheren is the total number of simulated input vectors, m is the number of charge samples, V is the number of gates and E is the number of edges in the circuit. Monte Carlo Simulation: For each input vector { Traverse the circuit and evaluate logic value for each gate; For each gate g the the circuit{ For each W i associated with Q i{ Calculate charge considering logic value of g; Traverse the fanout cone of g and accumulate SER N ; SER chip = SER N N ; Figure 2: The Monte Carlo simulation based on fault injection for the chip-level transient SER. 3.2 Trace Based Methodology The above Monte Carlo based simulation has high complexity with expensive runtime and is impractical for device and architecture concurrent optimization. To enable this concurrent SER optimization, we propose the efficient, yet accurate, trace based methodology. We first profile the benchmarks and collect the statistical information, called trace information. The trace information is invariant when the device setting is changed. We then vary the circuit level model, e.g. gate delay, critical charge Q crit etc., based on the device setting. Using the device independent trace information and the device dependent circuit level model as the inputs, we develop the trace based SER simulation to estimate the chip-level SER for each hyper-architecture considering the combinations of device and architecture settings. A similar methodology has been applied to micro-processors [16] and FGAs [7] for power optimization. The details of the trace based SER simulation methodology are discussed as follows Trace Collection We collect two types of trace information. The first one is logic probability, i.e. the probability that the stable logic value of each gate output is logic 1. The second type of trace information is average logic sensitivity. The logic sensitivity is calculated for each input of each gate. Given a gate with stable inputs and (9) output, the gate output is sensitive to an input, i.e. this input has a sensitivity of one, if changing the logic value of this input will result in the change of the gate output logic value. For each input vector, we first traverse the circuit, and evaluate the logic value and logic sensitivity for each gate output and input, respectively. We then calculate the logic probability for each gate output and the average logic sensitivity for each gate input after simulating N input vectors. The complexity of this trace collection process is O(N( V + E )), wheren is the total number of input vectors. Note that the trace only needs to be collected once under one device setting and can be reused during device and architecture concurrent optimization. Only steady state logic information is considered in the trace collection process and it is easy to see that the trace is independent of timing model and device setting. Therefore we have the following theorem. Theorem 1. The trace information including logic probability and average logic sensitivity for gate outputs and inputs are independent of device setting and technology Chip-level SER Analysis Given the collected trace information and the circuit level model, we then develop the trace based SER simulation algorithm. Similar to the Monte Carlo simulation, for each gate g in the circuit we obtain the critical charge Q crit and maximum charge Q max from the pre-calibrated library, and evenly sample m charge points between Q crit and Q max using (7). We then obtain the duration W i of the voltage pulse due to the i th charge Q i. Instead of directly calculating the probability of such a charge Q i by (3) or (4) using the logic value of gate g, we calculate the probability of charge Q i with the logic probability g(1) of gate g as, charge (W )=g(1) charge(w ) (g=1) +(1 g(1)) charge (W ) (g=0) (10) We then propagate the voltage pulse with duration W i through the fanout cone of gate g. During propagation, the average logic sensitivity is considered to model logic masking statistically. Suppose a voltage pulse with duration W in and probability in arrives at the i th input of a gate g. With a particular input vector, this transient voltage pulse may be logically blocked if the gate output is insensitive to the i th input. In other words, the probability of the output voltage pulse, out, is zero. Without using input vectors, we degrade the probability in of the voltage pulse at the gate input by a factor of the average logic sensitivity to calculate out for the voltage pulse at the gate output as follows, out = in Average Sensitivity(g,i) (11) where Average Sensitivity(g,i) is the average logic sensitivity of gate g to its i th input. The duration of the voltage pulse at gate output, W out, is calculated based on W in and the gate delay T dly considering electrical masking as discussed in Section 2.2. If the voltage pulse duration degrades to zero due to electrical masking, i.e. W out =0,weset out to zero and stop propagating through the fanout cone of this gate. At the first level of propagation from gate g, the voltage pulse at the output of gate g has a duration of W i with probability of charge as calculated by (10). If the voltage pulse successfully reaches a flip-flop input, we then further consider the latch masking using (6) and accumulate the chip-level SER. Figure 3 presents the high-level algorithm of the trace based SER simulation. The complexity of the trace based simulation is O(m V ( V + E )), where m is the number of charge samples. The overall complexity of the trace based methodology including trace collection is O(N( V + E )+ m V ( V + E )),which is still smaller than the complexity of the Monte Carlo simulation.

4 Trace Based Algorithm: For each gate g the the circuit{ For each W i associated with Q i{ Calculate charge considering logic probability of g; Traverse the fanout cone of g and accumulate SER chip ; Figure 3: The trace based SER simulation. 4. EXERIMENTAL RESULTS In this section, we conduct the experiments on the largest MCNC benchmarks [17]. We use the Berkeley predictive device model [18] at ITRS [5] 65nm technology node. The island style architecture [19] is used in our study. We assume the sea-level SER with the same flux F as in [2]. SER is measured in number of failures in one billion hours (FIT). The baseline hyper-architecture uses the same cluster and LUT sizes as those used by the Xilinx Virtex-II [11] (cluster size of 8, LUT size of 4), V dd suggested by ITRS [5] (0.9v), and V th (0.3v) that is optimized for the above architecture and V dd considering energy and delay product [7]. The optimization ranges are {N=6, 8, 10, 12, {k=3, 4, 5, 6, 7, {V dd =0.8v, 0.9v, 1.0v, 1.1v and {V th = 0.2v, 0.25v, 0.3v, 0.35v, 0.4v. 4.1 Validation of The Trace Based Simulation We assume V dd of 0.9v and V th of 0.3v as suggested by ITRS in this section. We use fpgaeva-l2 [20] to map a benchmark to an FGA chip and extract the delay and parasitics annotated gate-level netlist, which is the input of both the Monte Carlo based simulation and the traced based one. In our study, we perform the Monte Carlo simulation for 1000 input vectors such that the fluctuation of the average SER in the last 50 input vectors is less than 0.5%. We compare the SER from the trace based simulation with the the Monte Carlo based one in Figure 4. Each of 20 MCNC benchmarks is mapped to each of 20 FGA architectures. Figure 4 (a) compares the two algorithms for each individual benchmark, i.e. 400 comparisons. The maximum absolute difference between the SER from the two algorithms is 5.8% while the average difference is only 0.04%. Figure 4 (b) compares the two algorithms for each architecture, where the SER of one architecture is calculated as the geometric mean of 20 benchmarks for this architecture. The maximum difference between the SER from the two algorithms for one architecture is only 0.39% while the average difference is 0.03%. SER by M C Simulation Monte Carlo Sim vs. T race Based Sim SER by trace based sim ulation SER by M C simulation Monte Carlo Sim vs. T race Based Sim SER by traced based sim ulation (a) (b) Figure 4: The comparison of the chip-level transient SER between the Monte Carlo (MC) simulation and the traced based simulation. In addition, it takes 48 hours of runtime to perform the Monte Carlo based simulation for one architecture with 20 benchmarks. On the other hand, it only takes 5 hours to collect the trace information as defined in Section 3.2 and 3 minutes to perform trace based simulation for the same set of benchmarks. Note that the trace information only needs to be collected once and can be re-used in device and architecture concurrent optimization. The amortized speedup of the trace based SER simulation is 1000X compared to the Monte Carlo based simulation. It is clear that the trace based SER simulation is highly efficient and accurate compared to the Monte Carlo based simulation. 4.2 Impact of Architecture and Device Tuning We use the trace based simulation to perform device and architecture evaluation for SER optimization. Starting with the baseline hyper-architecture {N=8, k=4, V dd =0.9v, V th =0.3v, we first study the impact of architecture tuning on SER in Figure 5. From this figure, we have the following observation. Observation 1. In general, a larger cluster size N or a larger LUT size k may lead to a smaller chip-level transient SER. In addition, tuning cluster size N or LUT size k has a similar impact on SER TransientSER (FIT) cluster size:n LUT size:k Figure 5: The impact of architecture tuning on chiplevel SER with a fixed device setting, i.e. V dd =0.9v, V th =0.3v. The impact of tuning cluster (or LUT) sizes on SER can be obtained by calculating the ratio between the maximum and minimum SER with a fixed LUT (or cluster) size, but sweeping all possible cluster (or LUT) sizes. On average, tuning cluster size in {N=6, 8, 10, 12 leads to a 1.6X difference in SER while tuning LUT size in {k=3, 4, 5, 6, 7 leads to a 1.4X difference in SER. Overall, with this device setting (V dd =0.9vandV th = 0.3v), architecture tuning leads to a 2.1X difference in SER, i.e. the architecture (N=6, k=3) or (N=6, k=5) has a maximum SER of FIT while the architecture (N=12, k=7) has a minimum SER of FIT. Based on the optimized architecture (N=12, k=7), we then study the impact of device tuning on SER in Figure 6. From this figure, we have the following observation. Observation 2. In general, a higher V dd or a higher V th may lead to a smaller chip-level transient SER. In addition, tuning V dd or V th has a similar impact on SER Transient SER (FIT ) Vth Figure 6: The impact of device tuning on chip-level SER with a fixed FGA architecture (N=12, k=7). Vdd

5 Similar to the impact of tuning cluster (or LUT) sizes, we study the impact of tuning V dd (or V th ) on SER. On average, tuning V dd in {0.8v, 0.9v, 1.0v, 1.1v leads to a 1.6X difference in SER while tuning V th in {0.2v, 0.25v, 0.3v, 0.35v, 0.4v also leads to a 1.6X difference in SER. Tuning V dd or V th has a similar impact on SER. Overall, with the architecture (N=12, k=7), device tuning leads to an 2.2X difference in SER, i.e. the device setting (V dd =0.8v, V th =0.25v) has a maximum SER of FIT while the device setting (V dd =1.1v, V th =0.35v) has a minimum SER of FIT. Moreover, compared to architecture tuning, device tuning has a similar impact on SER. 4.3 Device and Architecture Concurrent Optimization There are three methods to perform device and architecture optimization. In the first two methods, we can first optimize architecture (or device) then optimize device (or architecture) given the optimized architecture (or device). In the third method, we optimize architecture and device concurrently, called concurrent method. While not presented here, our experimental results show that only the concurrent method can guarantee the global optimal solution. Due to the efficient trace based simulation algorithm, the overall runtime including the trace collection process of the concurrent method is affordable (25 hours). The concurrent optimization leads to a 4.6X difference in SER, i.e. hyper-architectures {N=12, k=6, V dd =1.1v, V th =0.4v and {N=6, k=3, V dd =0.8v, V th =0.2v obtain a minimum SER of FIT and maximum SER of FIT within the whole solution space, respectively. hyper-architecture ED SER ED SER {N, k, V dd, V th (nj ns) (FIT) (nj ns FIT) baseline {8, 4, 0.9v, 0.3v 1.2X 2.8X 1.8X Min-ED {12, 4, 0.9v, 0.25v X 1.2X Min-SER {12, 6, 1.1v, 0.4v 3.3X X Min-ED SER {10, 6, 1.0v, 0.3v 1.2X 1.4X 0.14 Table 1: Comparison between the baseline, min-ed, min-ser and min-ed SER hyper-architectures. We further consider the energy, delay and SER tradeoff during the concurrent optimization. We use trace [7] to estimate energy and delay for each hyper-architecture. Table 1 compares the baseline, min-ed, min-ser and min-ed SER hyper-architectures in detail. In this table, ED product, SER and ED SER product in each column are normalized to the minimum corresponding value, respectively. The min-ser hyper-architecture reduces SER by 2.8X compared to the baseline but obtains a 3.3X larger ED product compared to the min-ed one. As a result, the min-ser and baseline hyper-architectures obtain the same ED SER product. On the other hand, the min-ed SER hyper-architecture obtains a 1.4X larger SER and 1.2X larger ED compared to the min-ser and min-ed hyper-architectures, respectively. Compared to the baseline hyperarchitecture, the min-ed SER one obtains the same ED product but reduces SER by 2X (2.8X/1.4X=2X). Moreover, the min-ed SER hyper-architecture obtains a 1.8X, 1.2X or 1.8X smaller ED SER product compared to the baseline, min-ed and min-ser hyperarchitectures, respectively. The min-ed SER hyper-architecture achieves the best energy, delay and SER tradeoff. 5. CONCLUSIONS AND DISCUSSIONS In this paper, we have shown that continuous CMOS scaling dramatically increases the significance of FGA chip-level transient soft errors in circuit elements other than configuration memory, and transient SER can no longer be ignored. We have developed an efficient, yet accurate, trace based simulation for FGA chiplevel transient SER considering logic, electrical and latch-window maskings. The statistical trace information on logic probability and logic sensitivity is collected once for a given set of benchmark circuits and can be reused during optimization. We have also performed device and architecture concurrent optimization for FGA chip-level SER. Overall, device and architecture concurrent tuning leads to a 4.6X difference in SER. Compared to the baseline hyper-architecture similar to a commercial one [11] with delay and energy optimized, the hyper-architecture with minimum SER (min-ser) reduces SER by 2.8X. In general, a larger cluster/lut size or a higher V dd /V th may lead to a smaller chiplevel SER. We have further considered the energy, delay and SER tradeoff during the concurrent optimization and reduce the product of energy, delay and SER (ED SER) by 1.8X. In the future, we will explore more device parameters and study early stage technology optimization considering the impact of FGA synthesis and architecture leveraging the trace based methodology. 6. REFERENCES [1] S. Borkar, Electronics Beyong Nano-scale CMOS, in roc. Design Automation Conf., July [2]. Hazucha and C. Svensson, Impact of cmos technology scaling on the atmospheric neutron soft error rate, IEEE Trans. on Nuclear Science, [3] W. Heidergott, SEU tolerant device, circuit and process design, in roc. Design Automation Conf., June [4] G. Asadi and M. Tahoori, Soft error rate estimation and mitigation for SRAM-based FGAs, in ISFGA, Feb [5] International Technology Roadmap for Semiconductor in [6]. Shivakumar and et al, Modeling the impact of device and pipeline scaling on the soft error rate of process elements, in CS Dept, the Univ. of Texas at Austin, Technical Report [7] L.Cheng,.Wong,F.Li,Y.Lin,andL.He, Deviceand architecture co-optimization for FGA power reduction, in DAC, June [8] A. Lesea and et al, The rosetta experiment: atmospheric soft error rate testing in differing technology FGAs, IEEE Transactions on Device and Materials Reliability, [9] A. Frantz and et al, Evaluation of SEU and crosstalk effects in network-on-chip switches, in roceedings of the 19th annual symposium on Integrated circuits and systems design, [10] B. Zhang and M. Orshansky, Symbolic simulation of the propagation and filtering of transient faulty pulses, in Workshop on system effects of logic efforts, April [11] Xilinx Corporation, Virtex-II 1.5v platform FGA complete data sheet, July [12] K. Warren and et al, redicting Thermal Neutron-Induced Soft Errors in Static Memories Using TCAD and hysics-based Monte Carlo Simulation Tools, IEEE Electron Device Letters, vol. 28, pp , Feb [13] G. Wirth and et al, Single event transients in combinational circuits, in Intl. Symp. on Integrated circuits and system design, September [14] A. Kasnavi and et al, Analytical modeling of crosstalk noise waveforms using weibull function, in ICCAD, Nov [15]. Roche and G. Gasiot, Impacts of front-end and middle-end process modifications on terrestrial soft error rate, IEEE Transactions on Device and Materials Reliability, Sept [16] J. Eble and et al, A generic system simulator (GENESYS) for ASIC technology andarchitecture beyond 2001, in The International ASIC Conference and Exhibit, Sept [17] S. Yang, Logic synthesis and optimization benchmarks, version 3.0, tech. rep., Microelectronics Center of North Carolina (MCNC), [18] U. of Berkeley Device Group, redictive technology model, in ptm/mosfet.html, [19] V. Betz, J. Rose, and A. Marquardt, Architecture and CAD for Deep-Submicron FGAs. Kluwer Academic ublishers, [20] Y. Lin, F. Li, and L. He, ower modeling and architecture evaluation for FGA with novel circuits for Vdd programmability, in ISFGA, Feb 2005.

This work is supported in part by grants from GSRC and NSF (Career No )

This work is supported in part by grants from GSRC and NSF (Career No ) SEAT-LA: A Soft Error Analysis tool for Combinational Logic R. Rajaraman, J. S. Kim, N. Vijaykrishnan, Y. Xie, M. J. Irwin Microsystems Design Laboratory, Penn State University (ramanara, jskim, vijay,

More information

Symbolic Simulation of the Propagation and Filtering of Transient Faulty Pulses

Symbolic Simulation of the Propagation and Filtering of Transient Faulty Pulses Workshop on System Effects of Logic Soft Errors, Urbana Champion, IL, pril 5, 25 Symbolic Simulation of the Propagation and Filtering of Transient Faulty Pulses in Zhang and Michael Orshansky ECE Department,

More information

Modeling the Impact of Device and Pipeline Scaling on the Soft Error Rate of Processor Elements

Modeling the Impact of Device and Pipeline Scaling on the Soft Error Rate of Processor Elements Modeling the Impact of Device and Pipeline Scaling on the Soft Error Rate of Processor Elements Department of Computer Sciences Technical Report 2002-19 Premkishore Shivakumar Michael Kistler Stephen W.

More information

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Dan Holcomb Wenchao Li Sanjit A. Seshia Department of EECS University of California, Berkeley Design Automation and Test in

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Partial Error Masking to Reduce Soft Error Failure Rate in Logic Circuits

Partial Error Masking to Reduce Soft Error Failure Rate in Logic Circuits Partial Error Masking to Reduce Soft Error Failure Rate in Circuits Kartik Mohanram * and Nur A. Touba Computer Engineering Research Center University of Texas, Austin, TX 78712-1084 E-mail: {kmram, touba}@ece.utexas.edu

More information

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin The Effect of Threshold Voltages on the Soft Error Rate - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin Outline Introduction Soft Errors High Threshold ( V t ) Charge Creation Logic Attenuation

More information

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 131 CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 7.1 INTRODUCTION Semiconductor memories are moving towards higher levels of integration. This increase in integration is achieved through reduction

More information

Design of Soft Error Tolerant Memory and Logic Circuits

Design of Soft Error Tolerant Memory and Logic Circuits Design of Soft Error Tolerant Memory and Logic Circuits Shah M. Jahinuzzaman PhD Student http://vlsi.uwaterloo.ca/~smjahinu Graduate Student Research Talks, E&CE January 16, 2006 CMOS Design and Reliability

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

An Efficient Static Algorithm for Computing the Soft Error Rates of Combinational Circuits

An Efficient Static Algorithm for Computing the Soft Error Rates of Combinational Circuits An Efficient Static Algorithm for Computing the Soft Error Rates of Combinational Circuits Rajeev R. Rao, Kaviraj Chopra, David Blaauw, Dennis Sylvester Department of EECS, University of Michigan, Ann

More information

FPGA Device and Architecture Evaluation Considering Process Variations

FPGA Device and Architecture Evaluation Considering Process Variations FPGA Device and Architecture Evaluation Considering Process Variations Ho-Yan Wong, Lerong Cheng, Yan Lin, Lei He Electrical Engineering Department University of California, Los Angeles ABSTRACT Process

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Amir Hasanbegovic (amirh@ifi.uio.no) Nanoelectronics Group, Dept. of Informatics, University of Oslo November 5, 2010 Overview

More information

A Highly-Efficient Technique for Reducing Soft Errors in Static CMOS Circuits

A Highly-Efficient Technique for Reducing Soft Errors in Static CMOS Circuits A Highly-Efficient Technique for Reducing Soft Errors in Static MOS ircuits Srivathsan Krishnamohan and Nihar R. Mahapatra E-mail: {krishn37, nrm}@egr.msu.edu Department of Electrical & omputer Engineering,

More information

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Towards PVT-Tolerant Glitch-Free Operation in FPGAs Towards PVT-Tolerant Glitch-Free Operation in FPGAs Safeen Huda and Jason H. Anderson ECE Department, University of Toronto, Canada 24 th ACM/SIGDA International Symposium on FPGAs February 22, 2016 Motivation

More information

SOFT errors are radiation-induced transient errors caused by

SOFT errors are radiation-induced transient errors caused by IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 12, DECEMBER 2006 1461 Dual-Sampling Skewed CMOS Design for Soft-Error Tolerance Ming Zhang, Student Member, IEEE, and Naresh

More information

University of Minnesota, Minneapolis, MN 2. Intel Corporation, Hillsboro, OR 3. Los Alamos National Laboratory, Los Alamos, NM

University of Minnesota, Minneapolis, MN 2. Intel Corporation, Hillsboro, OR 3. Los Alamos National Laboratory, Los Alamos, NM Statistical Characterization of Radiation- Induced Pulse Waveforms and Flip-Flop Soft Errors in 14nm Tri-Gate CMOS Using a Back- Sampling Chain (BSC) Technique Saurabh Kumar 1, M. Cho 2, L. Everson 1,

More information

Method for Qcrit Measurement in Bulk CMOS Using a Switched Capacitor Circuit

Method for Qcrit Measurement in Bulk CMOS Using a Switched Capacitor Circuit Method for Qcrit Measurement in Bulk CMOS Using a Switched Capacitor Circuit John Keane Alan Drake AJ KleinOsowski Ethan H. Cannon * Fadi Gebara Chris Kim jkeane@ece.umn.edu adrake@us.ibm.com ajko@us.ibm.com

More information

Cost-Effective Radiation Hardening Technique for Combinational Logic

Cost-Effective Radiation Hardening Technique for Combinational Logic Cost-Effective Radiation Hardening Technique for Combinational Logic Quming Zhou and Kartik Mohanram Department of Electrical and Computer Engineering Rice University, Houston, TX 775 {quming, kmram}@rice.edu

More information

Project UPSET: Understanding and Protecting Against Single Event Transients

Project UPSET: Understanding and Protecting Against Single Event Transients Project UPSET: Understanding and Protecting Against Single Event Transients Stevo Bailey stevo.bailey@eecs.berkeley.edu Ben Keller bkeller@eecs.berkeley.edu Garen Der-Khachadourian gdd9@berkeley.edu Abstract

More information

Multiple Transient Faults in Combinational and Sequential Circuits: A Systematic Approach

Multiple Transient Faults in Combinational and Sequential Circuits: A Systematic Approach 5847 1 Multiple Transient Faults in Combinational and Sequential Circuits: A Systematic Approach Natasa Miskov-Zivanov, Member, IEEE, Diana Marculescu, Senior Member, IEEE Abstract Transient faults in

More information

Soft Error Rate Analysis for Combinational Logic Using An Accurate Electrical Masking Model

Soft Error Rate Analysis for Combinational Logic Using An Accurate Electrical Masking Model Soft Error Rate Analysis for Combinational Logic Using An Accurate Electrical Masking Model Feng Wang, Yuan Xie, R. Rajaraman and B. Vaidyanathan The Pennsylvania State University, University Park, PA

More information

Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic

Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic Appears in the Proceedings of the 2002 International Conference on Dependable Systems and Networks Modeling the Effect of Technology Trends on the Soft Error Rate of Combinational Logic Premkishore Shivakumar

More information

Modeling the Effect of Technology Trends on Soft Error Rate of Combinational Logic

Modeling the Effect of Technology Trends on Soft Error Rate of Combinational Logic Modeling the Effect of Technology Trends on Soft Error Rate of Combinational Logic Premkishore Shivakumar Michael Kistler Stephen W. Keckler Doug Burger Lorenzo Alvisi Department of Computer Sciences University

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems

Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems Ihsen Alouani, Smail Niar, Yassin El-Hillali, and Atika Rivenq 1 I. Alouani and S. Niar LAMIH lab University of Valenciennes

More information

Dependence of Cell Distance and Well-Contact Density on MCU Rates by Device Simulations and Neutron Experiments in a 65-nm Bulk Process

Dependence of Cell Distance and Well-Contact Density on MCU Rates by Device Simulations and Neutron Experiments in a 65-nm Bulk Process IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 61, NO. 4, AUGUST 2014 1583 Dependence of Cell Distance and Well-Contact Density on MCU Rates by Device Simulations and Neutron Experiments in a 65-nm Bulk Process

More information

A BICS Design to Detect Soft Error in CMOS SRAM

A BICS Design to Detect Soft Error in CMOS SRAM A BICS Design to Detect Soft Error in CMOS SRAM N.M.Sivamangai 1, Dr. K. Gunavathi 2, P. Balakrishnan 3 1 Lecturer, 2 Professor, 3 M.E. Student Department of Electronics and Communication Engineering,

More information

Tunable transient filters for soft error rate reduction in combinational circuits

Tunable transient filters for soft error rate reduction in combinational circuits Tunable transient filters for soft error rate reduction in combinational circuits Quming Zhou, Mihir R. Choudhury, and Kartik Mohanram Department of Electrical and Computer Engineering Rice University,

More information

A Circuit for Concurrent Detection of Soft and Timing Errors in Digital CMOS ICs

A Circuit for Concurrent Detection of Soft and Timing Errors in Digital CMOS ICs JOURNAL OF ELECTRONIC TESTING: Theory and Applications 20, 523 531, 2004 c 2004 Kluwer Academic Publishers. Manufactured in The United States. A Circuit for Concurrent Detection of Soft and Timing Errors

More information

A New Asymmetric SRAM Cell to Reduce Soft Errors and Leakage Power in FPGA

A New Asymmetric SRAM Cell to Reduce Soft Errors and Leakage Power in FPGA A New Asymmetric SRAM Cell to Reduce Soft Errors and Leakage Power in FPGA Balkaran S. Gill, Chris Papachristou, and Francis G. Wolff Department of Electrical Engineering and Computer Science Case Western

More information

1. Introduction. 2. Fault modeling in logic

1. Introduction. 2. Fault modeling in logic Formal Modeling and Reasoning for Reliability Analysis Natasa Miskov-Zivanov 1 and Diana Marculescu 2 University of Pittsburgh, 2 Carnegie Mellon University E-mail: nam66@pitt.edu, dianam@cmu.edu 1 Abstract

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

Design of Robust CMOS Circuits for Soft Error Tolerance

Design of Robust CMOS Circuits for Soft Error Tolerance Design of Robust CMOS Circuits for Soft Error Tolerance Debopriyo Chowdhury, Mohammad Amin Arbabian Department of EECS, Univ. of California, Berkeley, CA 9472 Abstract- With the continuous downscaling

More information

A Novel Latch design for Low Power Applications

A Novel Latch design for Low Power Applications A Novel Latch design for Low Power Applications Abhilasha Deptt. of Electronics and Communication Engg., FET-MITS Lakshmangarh, Rajasthan (India) K. G. Sharma Suresh Gyan Vihar University, Jagatpura, Jaipur,

More information

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. On-Line Testing 2

Y. Tsiatouhas. VLSI Systems and Computer Architecture Lab. On-Line Testing 2 CMOS INTEGRATE CIRCUIT ESIGN TECHNIUES University of Ioannina On Line Testing ept. of Computer Science and Engineering Y. Tsiatouhas CMOS Integrated Circuit esign Techniques Overview. Reliability issues

More information

Soft Error Susceptibility in SRAM-Based FPGAs. With the increasing emphasis on minimizing mass and volume along with

Soft Error Susceptibility in SRAM-Based FPGAs. With the increasing emphasis on minimizing mass and volume along with Talha Ansari CprE 583 Fall 2011 Soft Error Susceptibility in SRAM-Based FPGAs With the increasing emphasis on minimizing mass and volume along with cost in aerospace equipment, the use of FPGAs has slowly

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

t Microprocessor Research Laboratories, Intel Corporation, Hillsboro, OR

t Microprocessor Research Laboratories, Intel Corporation, Hillsboro, OR AN ENERGY-EFFICIENT LEAKAGE-TOLERANT DYNAMIC CIRCUIT TECHNIQUE Lei Wang, Ram K. Krishnamurthyt, K. Soumyanatht, and Naresh R. Shanbhag Coordinated Science Laboratory, Department of Electrical and Computer

More information

Accurate and computer efficient modelling of single event transients in CMOS circuits

Accurate and computer efficient modelling of single event transients in CMOS circuits Accurate and computer efficient modelling of single event transients in CMOS circuits G.I. Wirth, M.G. Vieira and F.G. Lima Kastensmidt Abstract: A new analytical modelling approach to evaluate the impact

More information

Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC

Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC Yi Zhao and Sujit Dey Department of Electrical and Computer Engineering University of California,

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST /$ IEEE

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST /$ IEEE IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 55, NO. 4, AUGUST 2008 2281 Tbulk-BICS: A Built-In Current Sensor Robust to Process and Temperature Variations for Soft Error Detection Egas Henes Neto, Fernanda

More information

A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak Narayanan 1 Mr.G.RajeshBabu 2

A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak Narayanan 1 Mr.G.RajeshBabu 2 IJSRD - International Journal for Scientific Research & Development Vol. 4, Issue 03, 2016 ISSN (online): 2321-0613 A Low Complexity and Highly Robust Multiplier Design using Adaptive Hold Logic Vaishak

More information

Synthesis of Non-Intrusive Concurrent Error Detection Using an Even Error Detecting Function

Synthesis of Non-Intrusive Concurrent Error Detection Using an Even Error Detecting Function Synthesis of Non-Intrusive Concurrent Error Detection Using an Even Error Detecting Function Avijit Dutta and Nur A. Touba Computer Engineering Research Center Department of Electrical and Computer Engineering

More information

Power Modeling and Characteristics of Field Programmable Gate Arrays

Power Modeling and Characteristics of Field Programmable Gate Arrays IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS, VOL. XX, NO. YY, MONTH 2005 1 Power Modeling and Characteristics of Field Programmable Gate Arrays Fei Li and Lei He Member, IEEE Abstract

More information

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

Soft Error Rate Determination for Nanometer CMOS VLSI Logic

Soft Error Rate Determination for Nanometer CMOS VLSI Logic 4th Southeastern Symposium on System Theory University of New Orleans New Orleans, LA, USA, March 6-8, 8 TA.5 Soft Error Rate Determination for Nanometer CMOS VLSI Logic Fan Wang and Vishwani D. Agrawal

More information

Worst Case RLC Noise with Timing Window Constraints

Worst Case RLC Noise with Timing Window Constraints Worst Case RLC Noise with Timing Window Constraints Jun Chen Electrical Engineering Department University of California, Los Angeles jchen@ee.ucla.edu Lei He Electrical Engineering Department University

More information

Reliability and Energy Dissipation in Ultra Deep Submicron Designs

Reliability and Energy Dissipation in Ultra Deep Submicron Designs Reliability and Energy Dissipation in Ultra Deep Submicron Designs 5/19/2005 page 1 Reliability and Energy Dissipation in Ultra Deep Submicron Designs Frank Sill 31 th March 2005 5/19/2005 page 2 Outline

More information

Fast Placement Optimization of Power Supply Pads

Fast Placement Optimization of Power Supply Pads Fast Placement Optimization of Power Supply Pads Yu Zhong Martin D. F. Wong Dept. of Electrical and Computer Engineering Dept. of Electrical and Computer Engineering Univ. of Illinois at Urbana-Champaign

More information

An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction

An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction Melanie Berg, MEI Technologies in support of NASA/GSFC To be presented by Melanie Berg at the

More information

A New Low Power High Reliability Flip-Flop Robust Against Process Variations

A New Low Power High Reliability Flip-Flop Robust Against Process Variations http://jecei.srttu.edu Journal of Electrical and Computer Engineering Innovations SRTTU JECEI, Vol. 4, No. 2, 2016 Regular Paper A New Low Power High Reliability Flip-Flop Robust Against Process Variations

More information

CS 6135 VLSI Physical Design Automation Fall 2003

CS 6135 VLSI Physical Design Automation Fall 2003 CS 6135 VLSI Physical Design Automation Fall 2003 1 Course Information Class time: R789 Location: EECS 224 Instructor: Ting-Chi Wang ( ) EECS 643, (03) 5742963 tcwang@cs.nthu.edu.tw Office hours: M56R5

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM

Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM Intellect Amplifier, Current Clasped and Filled Current Approach Sense Amplifiers Techniques Based Low Power SRAM V. Karthikeyan 1 1 Department of ECE, SVSCE, Coimbatore, Tamilnadu, India, Karthick77keyan@gmail.com

More information

Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage

Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage Rizwan A. Ashraf, A. Al-Zahrani, and Ronald F. DeMara Department of Electrical Engineering and Computer Science

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

A Transistor-Level Stochastic Approach for Evaluating the Reliability of Digital Nanometric CMOS Circuits

A Transistor-Level Stochastic Approach for Evaluating the Reliability of Digital Nanometric CMOS Circuits A Transistor-Level Stochastic Approach for Evaluating the Reliability of Digital Nanometric CMOS Circuits Hao Chen ECE Department University of Alberta Edmonton, Canada hc5@ualberta.ca Jie Han ECE Department

More information

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions L. Sterpone Dipartimento di Automatica e Informatica Politecnico di Torino, Torino, ITALY 1 Motivations

More information

A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE

A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE Mei-Wei Chen 1, Ming-Hung Chang 1, Pei-Chen Wu 1, Yi-Ping Kuo 1, Chun-Lin Yang 1, Yuan-Hua Chu 2, and Wei Hwang

More information

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise?

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise? Power Supply Networs: Analysis and Synthesis What is Power Supply Noise? Problem: Degraded voltage level at the delivery point of the power/ground grid causes performance and/or functional failure Lower

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

A Dual-V DD Low Power FPGA Architecture

A Dual-V DD Low Power FPGA Architecture A Dual-V DD Low Power FPGA Architecture A. Gayasen 1, K. Lee 1, N. Vijaykrishnan 1, M. Kandemir 1, M.J. Irwin 1, and T. Tuan 2 1 Dept. of Computer Science and Engineering Pennsylvania State University

More information

Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator

Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator ELECTRONICS, VOL. 13, NO. 1, JUNE 2009 37 Statistical Timing Analysis of Asynchronous Circuits Using Logic Simulator Miljana Lj. Sokolović and Vančo B. Litovski Abstract The lack of methods and tools for

More information

ISSN:

ISSN: 1061 Area Leakage Power and delay Optimization BY Switched High V TH Logic UDAY PANWAR 1, KAVITA KHARE 2 12 Department of Electronics and Communication Engineering, MANIT, Bhopal 1 panwaruday1@gmail.com,

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

WHEN high-energy neutrons (present in terrestrial cosmic

WHEN high-energy neutrons (present in terrestrial cosmic IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VO. 25, NO. 1, JANUARY 2006 155 Gate Sizing to Radiation Harden Combinational ogic Quming Zhou, Student Member, IEEE, and

More information

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. Abstract This paper presents a novel SRAM design for nanoscale CMOS. The new design addresses

More information

The Influence of the Distance between the Strike Location and the Drain on 90nm Dual-Well Bulk CMOS

The Influence of the Distance between the Strike Location and the Drain on 90nm Dual-Well Bulk CMOS International Conference on Mathematics, Modelling, Simulation and Algorithms (MMSA 8) The Influence of the Distance between the Strike Location and the Drain on 9nm Dual-Well Bulk CMOS Qiqi Wen and Wanting

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002 Overview ECE 3: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic and Fault Modeling Motivation Logic Modeling Model types Models at different levels of abstractions Models and definitions Fault Modeling

More information

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates

Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Analyzing Combined Impacts of Parameter Variations and BTI in Nano-scale Logical Gates Seyab Khan Said Hamdioui Abstract Bias Temperature Instability (BTI) and parameter variations are threats to reliability

More information

SHOULD FPGAS ABANDON THE PASS-GATE? Charles Chiasson and Vaughn Betz

SHOULD FPGAS ABANDON THE PASS-GATE? Charles Chiasson and Vaughn Betz SHOULD FPGAS ABANDON THE PASS-GATE? Charles Chiasson and Vaughn Betz Department of Electrical and Computer Engineering University of Toronto, Toronto, ON, Canada {charlesc,vaughn}@eecg.utoronto.ca ABSTRACT

More information

Proceedings of the International Conference on Computer Design, pp , October 1993

Proceedings of the International Conference on Computer Design, pp , October 1993 Proceedings of the International Conference on Computer Design, pp. 5854, October 99 A LogicLevel Model for Particle Hits in CMOS Circuits Hungse Cha and Janak H. Patel Center for Reliable and HighPerformance

More information

Impact of Low-Impedance Substrate on Power Supply Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity Impact of Low-Impedance Substrate on Power Supply Integrity Rajendran Panda and Savithri Sundareswaran Motorola, Austin David Blaauw University of Michigan, Ann Arbor Editor s note: Although it is tempting

More information

Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs

Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs 1838 IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 47, NO. 10, OCTOBER 2000 Separation of Effects of Statistical Impurity Number Fluctuations and Position Distribution on V th Fluctuations in Scaled MOSFETs

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

Analyzing Reconvergent Fanouts in Gate Delay Fault Simulation

Analyzing Reconvergent Fanouts in Gate Delay Fault Simulation Analyzing Reconvergent Fanouts in Gate Delay Fault Simulation Hillary Grimes and Vishwani D. Agrawal Dept. of ECE, Auburn University Auburn, AL 36849 grimehh@auburn.edu, vagrawal@eng.auburn.edu Abstract

More information

AS very large-scale integration (VLSI) circuits continue to

AS very large-scale integration (VLSI) circuits continue to IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 49, NO. 11, NOVEMBER 2002 2001 A Power-Optimal Repeater Insertion Methodology for Global Interconnects in Nanometer Designs Kaustav Banerjee, Member, IEEE, Amit

More information

Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays

Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays Arifur Rahman and Vijay Polavarapuv Department of Electrical and Computer Engineering, Polytechnic University, Brooklyn, NY

More information

Davinci. Semiconductor Device Simulaion in 3D SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Davinci. Semiconductor Device Simulaion in 3D SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

An Accurate Single Event Effect Digital Design Flow for Reliable System Level Design

An Accurate Single Event Effect Digital Design Flow for Reliable System Level Design An Accurate Single Event Effect Digital Design Flow for Reliable System Level Design Julian Pontes and Ney Calazans Faculty of Informatics - FACIN, - PUCRS Porto Alegre, RS, Brazil {julian.pontes, ney.calazans@pucrs.br

More information

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 51, NO. 5, OCTOBER

IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 51, NO. 5, OCTOBER IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 51, NO. 5, OCTOBER 2004 2957 Selective Triple Modular Redundancy (STMR) Based Single-Event Upset (SEU) Tolerant Synthesis for FPGAs Praveen Kumar Samudrala, Member,

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure Design

A Novel Flipflop Topology for High Speed and Area Efficient Logic Structure Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735. Volume 6, Issue 2 (May. - Jun. 2013), PP 72-80 A Novel Flipflop Topology for High Speed and Area

More information

Low Power Adiabatic Logic Design

Low Power Adiabatic Logic Design IOSR Journal of Electronics and Communication Engineering (IOSR-JECE) e-issn: 2278-2834,p- ISSN: 2278-8735.Volume 12, Issue 1, Ver. III (Jan.-Feb. 2017), PP 28-34 www.iosrjournals.org Low Power Adiabatic

More information

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE

A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE A LOW POWER SINGLE PHASE CLOCK DISTRIBUTION USING 4/5 PRESCALER TECHNIQUE MS. V.NIVEDITHA 1,D.MARUTHI KUMAR 2 1 PG Scholar in M.Tech, 2 Assistant Professor, Dept. of E.C.E,Srinivasa Ramanujan Institute

More information

Design of CMOS Based PLC Receiver

Design of CMOS Based PLC Receiver Available online at: http://www.ijmtst.com/vol3issue10.html International Journal for Modern Trends in Science and Technology ISSN: 2455-3778 :: Volume: 03, Issue No: 10, October 2017 Design of CMOS Based

More information

Sensing Voltage Transients Using Built-in Voltage Sensor

Sensing Voltage Transients Using Built-in Voltage Sensor Sensing Voltage Transients Using Built-in Voltage Sensor ABSTRACT Voltage transient is a kind of voltage fluctuation caused by circuit inductance. If strong enough, voltage transients can cause system

More information

Advanced Digital Design

Advanced Digital Design Advanced Digital Design Introduction & Motivation by A. Steininger and M. Delvai Vienna University of Technology Outline Challenges in Digital Design The Role of Time in the Design The Fundamental Design

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

IN NANOSCALE CMOS devices, the random variations in

IN NANOSCALE CMOS devices, the random variations in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 9, SEPTEMBER 2005 1787 Estimation of Delay Variations due to Random-Dopant Fluctuations in Nanoscale CMOS Circuits Hamid Mahmoodi, Student Member, IEEE,

More information

Modeling of Coplanar Waveguide for Buffered Clock Tree

Modeling of Coplanar Waveguide for Buffered Clock Tree Modeling of Coplanar Waveguide for Buffered Clock Tree Jun Chen Lei He Electrical Engineering Department Electrical Engineering Department University of California, Los Angeles University of California,

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information