Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise?

Size: px
Start display at page:

Download "Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise?"

Transcription

1 Power Supply Networs: Analysis and Synthesis What is Power Supply Noise? Problem: Degraded voltage level at the delivery point of the power/ground grid causes performance and/or functional failure Lower supply voltage slows the circuit down Lower supply voltage can inhibit switching and loss of state Voltage fluctuation causes noise injection in the circuit L R X C VDD GND Circuit Switching 1

2 Logic Failure due to R drop V DD φ pre R V DD - V X V V R Trends Process shrin: increased current density Lower supply voltage: decreased voltage margin ncreased frequency: rate of change of current increases ncreased complexity: large die size increases the routing length of power supply New pacaging methods: new bonding mathods (flip-chip bump) improves the drops 2

3 ssues in PSN Analysis On-chip resistance (R) and inductance (L) for P/G networ Worst case noise does not correspond to average current, or pea current Small things add up Each gate draws a small current pulse when switching Switching events and their spatio-temporal correlation Find the simulation trace that creates a switching pattern in the design resulting in the worst case voltage drop at the specific location in the grid Conservative: Approach must err on the side of predicting too much voltage drop Design Planning Chip planning will occur before a definite floorplan Current is estimated based on chip area Assume a equal distribution of power sources and power grid 3

4 Early Analysis nitial floorplan and global power grid are complete Global power grid is extracted with R s, L s, and C s Each bloc is modeled as a single current source based on an estimated DC-value or on the gate level implementation Late Analysis Both global and local power grids are extracted Current sources are modeled at the transistor or gate level 4

5 Simulation Method Decouple simulation of interconnect from the circuit Characterize the switching current of a gate/transistor Sampling frequency allows for run-time/accuracy trade-off Use a switch-level or gate-level simulator to generate switching events teration allows for reduced conservatism ssues of Simulation Method Strengths: Accuracy of model Simple integration with existing tools Weanesses: Simulation speed is not adequate for full chip microprocessor designs Confidence of covering the worst case event with a test vector is not nown Large test vectors are needed, resulting in long run times 5

6 Static Approach Model the current for a bloc/gate for a single cloc cycle Use timing windows from timing analysis to model gate switching Apply gate switching current for the entire duration of the window Sum current of each gate to obtain a bloc current for early analysis mproved Window Generation 6

7 ssues in Static Analysis Strengths: Very short run times Conservative formulation Weanesses: Topological correlation between switching is lost Switching current is applied over the entire window Statistical Approach Based on a user specified confidence level, calculate the worst case current as a function of time, using: Switching intervals of the nodes in the circuit Switching probabilities of each node Gate current characterizations 7

8 Problem Formulation Gate-level circuit implementation & P/G topology? Estimation of the worst case P/G noise Technology library containing standard cells implementation Find out worst case input pattern that triggers worst case P/G noise Proposed Methodology Cells Precharacterization Spatio-temporal nformation of Switching events Delay & Switching Current Waveform Event-driven Simulator MC, GA nput Vector Generation Noise Sampling & Noise Waveform Update so-far worst case Noise & input vector Worst case Noise 8

9 nput Vector Generation Monte Carlo is used to generate input vectors according to prescribed signal probability and activity. A set of so-far worst case input vectors is selected to form an initial gene pool Genetic algorithm is employed to generate the new generations of input vectors Worst case noise & corresponding input vectors are the goals Pre-characterization of Standard Cells Technology and design parameters available Standard cells are pre-characterized with SPCE to obtain drive capability and delay information A delay loo-up table is used for timing analysis Current waveforms are approximated as trapezoids based on the delay and drive capability of switching gates 9

10 Delay Model--Looup Table A delay looup table is tabulated for each standard gate based on SPCE simulation data Delay depends on capacitive load and input slope Linear interpolation is used if necessary nput slope Capacitive load Delay Output slope τs CL td τo (ps) (ff) (ps) (ps) Approximate Switching Current Waveforms with Trapezoid 10

11 Switching Current Waveforms & Timing nformation Switching Event Queue (Event-driven Simulator) Determine Delay & Switching current waveform Switching event D Cloc Cycle ( T ) Modeling P/G Networ P/G networ is modeled as pseudodistributed RLC networ (of tree topology) 11

12 Noise Calculation P = P P Zd( 3) Z d (3) d(3) VDD i3(t) R1 L1 C1 i1(t) R2 L2 C2 Z i2(t) di1 di3 di2 Vdd V Z = [ ir 1 1+ L1 ] + [ i3r 1+ L1 ] + [( R1 + R2 ) i2 + ( L1 + L2 ) ] dt dt dt Noise Feedbac & Data Postprocessing Noise bounce on P/G reduces the effective power supply, therefore, lowers the drive current and prevents the noise bounce from going worse Estimated data need to post-processed Assume triode region operation, noise feedbac is given as follows: V V ( 1 est noise act noise δ = ) = 2 δ β β V V dd = dd δ 12

13 Experimental Results Circuit P s No. Gate No. Pea Noise (Near End) Pea Noise (Far End) CPU Time (per input pattern) (mv) (mv) (s) C C C C C C C C C C C Experimental Results 13

14 Experimental Results Experimental Results (compared with SPCE) 14

15 Voltage Drop Correction Given a floorplan with switching activities information available for each module: Determine how much decap is required by each module to eep the supply noise below a specified upper limit Allocate white-space to each module to meet its decap budget Related issue Determine worst case power supply noise for each module in the floorplan Allocate the existing white space in the floorplan Power Supply Networ RLC Mesh :Current Source : VDD pin Lp VDD Rp VDD VDD VDD 15

16 Current Distribution in Power Supply Mesh :Connection point, VDD (1) :VDD pin Current contribution (3) Current flowing path (5) VDD (2) (6) Module A B C Current Distribution in Power Supply Networ Distribute switching current for each module in the power supply mesh Observation: Currents tend to flow along the leastimpedance paths Approximation: Consider only those paths with minimal impedance --shortest, second shortest, Z 1 j = = 2 + Z 2 Y j n Y i i = 1 L 2, + = n L = = j Z = n n 1, 2, K n 16

17 Decoupling Capacitance Budget Decap budget for each module can be determined based on its noise level nitial budget can be estimated as follows: Charge : Noise Decap : C Q ratio : ( ) ( ) τ ( ) = 0 ( t) dt θ = max(1, 1 = (1 ) Q θ ( ) V V / ( ) noise (lim) V noise ) (lim) noise, = 1,2,LM terations are performed if necessary until noise at each module in the floorplan is ept under certain limit Allocation of Decoupling Capacitance Decap needs to be placed in the vicinity of each target module Decap requires WS to manufacture on Use MOS capacitors Decap allocation is reduced to WS allocation Two-phase approach: Allocate the existing WS in the floorplan nsert additional WS into the floorplan if required 17

18 Allocation of Existing White Space A w2 WS B D w1 C E w3 Allocation of Existing WS-- Linear Programming (LP) Approach Objective: Maximize the utilization of available WS Existing WS can be allocated to neighboring modules using LP Notation: S : S : S ( j) x ( j) : : ws sum area of decap of allocated N : neighbors set allocated WS budget to of of mod WS WS j mod j from LP Approach: maximize WS st.. j N = H = 1 x ( j) S = x x ( j) ( j) 0, H = 1 j N S S, ( j), x ( j), = 1,2, L, H j, j= 1,2, L, M 18

19 nsert Additional WS into Floorplan f Necessary Update decap budget for each module after existing WS has been allocated f additional WS if required, insert WS into floorplan by extending it horizontally and vertically Two-phase procedure: insert WS band between rows based the decap budgets of the modules in the row insert WS band between columns based on the decap budgets of the modules in the column Moving Modules to nsert WS Original floorplan 0 A C 2 B D ExtY Moving modules in y+ direction A C B D WS band E G F E F G (a) (b) 19

20 Experimental Results Comparison of Decap Budgets (Ours vs Conventional Solution ) Circuit decap budget (nf) (our method) decap budget (nf) ( greedy solution ) Percentage (%) apte xerox hp ami ami playout Experimental Results for MCNC Benchmar Circuits Circuit Modules Existing WS (µm 2 ) (%) apte (1.6) xerox (5.5) hp (7.8) ami (21.3) ami (7.0) playout (6.6) decap Budget (nf) nacc. WS (µm 2 ) (%) Added WS (µm 2 ) (%) (0) (10.3) (0) (2.7) (3.5) (3.4) Est. Pea Noise (V) before Est. Pea Noise (V) after N/A (2.5) (0.9) (1.3) (4.0)

21 Floorplan of playout Before/After WS nsertion 21

Decoupling Capacitance Allocation for Power Supply Noise Suppression

Decoupling Capacitance Allocation for Power Supply Noise Suppression Decoupling Capacitance Allocation for Power Supply Noise Suppression Shiyou Zhao, Kaushi Roy, Cheng-Ko Koh School of Electrical and Computer Engineering, Purdue University West Lafayette, IN 47907-1285

More information

Website: vlsicad.ucsd.edu/ courses/ ece260bw05. ECE 260B CSE 241A Power Distribution 1

Website:  vlsicad.ucsd.edu/ courses/ ece260bw05. ECE 260B CSE 241A Power Distribution 1 ECE260B CSE241A Winter 2005 Power Distribution Website: / courses/ ece260bw05 ECE 260B CSE 241A Power Distribution 1 Motivation Power supply noise is a serious issue in DSM design Noise is getting worse

More information

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 11 Physical Design Issues Interconnect Scaling Effects Dense multilayer metal increases coupling

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Effect of Aging on Power Integrity of Digital Integrated Circuits

Effect of Aging on Power Integrity of Digital Integrated Circuits Effect of Aging on Power Integrity of Digital Integrated Circuits A. Boyer, S. Ben Dhia Alexandre.boyer@laas.fr Sonia.bendhia@laas.fr 1 May 14 th, 2013 Introduction and context Long time operation Harsh

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

Power Supply Noise Aware Floorplanning and Decoupling Capacitance Placement Λ

Power Supply Noise Aware Floorplanning and Decoupling Capacitance Placement Λ Power Supply Noise Aware Floorplanning and Decoupling Capacitance Placement Λ Shiyou Zhao, Kaushi Roy, Cheng-Ko Koh School of Electrical and Computer Engineering, Purdue University West Lafayette, IN 47907-1285,

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Decoupling Capacitance

Decoupling Capacitance Decoupling Capacitance Nitin Bhardwaj ECE492 Department of Electrical and Computer Engineering Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling

More information

EMI Reduction on an Automotive Microcontroller

EMI Reduction on an Automotive Microcontroller EMI Reduction on an Automotive Microcontroller Design Automation Conference, July 26 th -31 st, 2009 Patrice JOUBERT DORIOL 1, Yamarita VILLAVICENCIO 2, Cristiano FORZAN 1, Mario ROTIGNI 1, Giovanni GRAZIOSI

More information

Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths

Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths Layout-Aware Pattern Generation for Maximizing Supply Noise Effects on Critical Paths Junxia Ma, Jeremy Lee and Mohammad Tehranipoor ECE Department, University of Connecticut, CT, 06269 {junxia, jslee,

More information

Fast Placement Optimization of Power Supply Pads

Fast Placement Optimization of Power Supply Pads Fast Placement Optimization of Power Supply Pads Yu Zhong Martin D. F. Wong Dept. of Electrical and Computer Engineering Dept. of Electrical and Computer Engineering Univ. of Illinois at Urbana-Champaign

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

On-Chip Inductance Modeling

On-Chip Inductance Modeling On-Chip Inductance Modeling David Blaauw Kaushik Gala ladimir Zolotov Rajendran Panda Junfeng Wang Motorola Inc., Austin TX 78729 ABSTRACT With operating frequencies approaching the gigahertz range, inductance

More information

Gate Delay Estimation in STA under Dynamic Power Supply Noise

Gate Delay Estimation in STA under Dynamic Power Supply Noise Gate Delay Estimation in STA under Dynamic Power Supply Noise Takaaki Okumura *, Fumihiro Minami *, Kenji Shimazaki *, Kimihiko Kuwada *, Masanori Hashimoto ** * Development Depatment-, Semiconductor Technology

More information

EE141-Spring 2007 Digital Integrated Circuits

EE141-Spring 2007 Digital Integrated Circuits EE141-Spring 2007 Digital Integrated Circuits Lecture 22 I/O, Power Distribution dders 1 nnouncements Homework 9 has been posted Due Tu. pr. 24, 5pm Project Phase 4 (Final) Report due Mo. pr. 30, noon

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi High Speed Design Issues and Jitter Estimation Techniques Jai Narayan Tripathi (jainarayan.tripathi@st.com) Outline Part 1 High-speed Design Issues Signal Integrity Power Integrity Jitter Power Delivery

More information

Pulse propagation for the detection of small delay defects

Pulse propagation for the detection of small delay defects Pulse propagation for the detection of small delay defects M. Favalli DI - Univ. of Ferrara C. Metra DEIS - Univ. of Bologna Abstract This paper addresses the problems related to resistive opens and bridging

More information

EE141- Spring 2004 Digital Integrated Circuits

EE141- Spring 2004 Digital Integrated Circuits EE141- Spring 2004 Digital Integrated Circuits Lecture 27 Power distribution Resistive interconnect 1 Administrative Stuff Make-up lecture on Monday 4-5:30pm Special office hours of Prof. Rabaey today

More information

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers 04/29/03 EE371 Power Delivery D. Ayers 1 VLSI Power Delivery David Ayers 04/29/03 EE371 Power Delivery D. Ayers 2 Outline Die power delivery Die power goals Typical processor power grid Transistor power

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec20 cwliu@twins.ee.nctu.edu.tw

More information

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Authors: Rick Brooks, Cisco, ricbrook@cisco.com Jane Lim, Cisco, honglim@cisco.com Udupi Harisharan, Cisco,

More information

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design DesignCon 2009 Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design Hsing-Chou Hsu, VIA Technologies jimmyhsu@via.com.tw Jack Lin, Sigrity Inc.

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 9: Noise Sources Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 5 Report and Prelab 6 due Apr. 3 Stateye

More information

Impact of Low-Impedance Substrate on Power Supply Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity Impact of Low-Impedance Substrate on Power Supply Integrity Rajendran Panda and Savithri Sundareswaran Motorola, Austin David Blaauw University of Michigan, Ann Arbor Editor s note: Although it is tempting

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005 Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado 1 Problem Statement Package Interconnect Limits VLSI System Performance The three main components

More information

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks Sanjay Pant, David Blaauw University of Michigan, Ann Arbor, MI Abstract The placement of on-die decoupling

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

Estimation of Inductive and Resistive Switching Noise on Power Supply Network in Deep Sub-micron CMOS Circuits *

Estimation of Inductive and Resistive Switching Noise on Power Supply Network in Deep Sub-micron CMOS Circuits * Estimation of nductive and Resistive Switching Noise on Power Supply Network in Deep Sub-micron CMOS Circuits * Shiyou Zhao, Kaushik Roy, Cheng-Kok Koh School of Electrical & Computer Engineering, Purdue

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Digital Systems Power, Speed and Packages II CMPE 650

Digital Systems Power, Speed and Packages II CMPE 650 Speed VLSI focuses on propagation delay, in contrast to digital systems design which focuses on switching time: A B A B rise time propagation delay Faster switching times introduce problems independent

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

ECE 497 JS Lecture - 22 Timing & Signaling

ECE 497 JS Lecture - 22 Timing & Signaling ECE 497 JS Lecture - 22 Timing & Signaling Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements - Signaling Techniques (4/27) - Signaling

More information

ECE 546 Lecture 20 Power Distribution Networks

ECE 546 Lecture 20 Power Distribution Networks ECE 546 Lecture 20 Power Distribution Networks Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 546 Jose Schutt Aine 1 IC on Package ECE 546

More information

CAPLESS REGULATORS DEALING WITH LOAD TRANSIENT

CAPLESS REGULATORS DEALING WITH LOAD TRANSIENT CAPLESS REGULATORS DEALING WITH LOAD TRANSIENT 1. Introduction In the promising market of the Internet of Things (IoT), System-on-Chips (SoCs) are facing complexity challenges and stringent integration

More information

Ripple analyze and design considerations for an interleaved boost converter (IBC) for a PV source

Ripple analyze and design considerations for an interleaved boost converter (IBC) for a PV source nternational Conference on Renewable Energies and Power Quality (CREPQ 14) Cordoba (Spain), 8 th to 10 th April, 2014 Renewable Energy and Power Quality Journal (RE&PQJ) SSN 2172-038 X, No.12, April 2014

More information

Efficient Early Stage Resonance Estimation Techniques for C4 Package *

Efficient Early Stage Resonance Estimation Techniques for C4 Package * Efficient Early Stage Resonance Estimation Techniques for C4 Package * Jin Shi 1, Yici Cai 1, Shelton X-D Tan 2 Xianlong Hong 1 1 Department of Computer Science and Technology, Tsinghua University, Beijing,

More information

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL POWER INTEGRITY ANALYSIS AND MANAGEMENT I CIRCUITS Raj Nair Donald Bennett PRENTICE HALL Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

1 Digital EE141 Integrated Circuits 2nd Introduction

1 Digital EE141 Integrated Circuits 2nd Introduction Digital Integrated Circuits Introduction 1 What is this lecture about? Introduction to digital integrated circuits + low power circuits Issues in digital design The CMOS inverter Combinational logic structures

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

PDS Impact for DDR Low Cost Design

PDS Impact for DDR Low Cost Design PDS Impact for DDR3-1600 Low Cost Design Jack W.C. Lin Sr. AE Manager jackl@cadence.com Aug. g 13 2013 Cadence, OrCAD, Allegro, Sigrity and the Cadence logo are trademarks of Cadence Design Systems, Inc.

More information

Design of the Power Delivery System for Next Generation Gigahertz Packages

Design of the Power Delivery System for Next Generation Gigahertz Packages Design of the Power Delivery System for Next Generation Gigahertz Packages Madhavan Swaminathan Professor School of Electrical and Computer Engg. Packaging Research Center madhavan.swaminathan@ece.gatech.edu

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

Lecture 17. Low Power Circuits and Power Delivery

Lecture 17. Low Power Circuits and Power Delivery Lecture 17 Low Power Circuits and Power Delivery Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2007 Ron Ho and Mark Horowitz w/ slides used from David Ayers 1 Power Delivery

More information

Lecture 10. Circuit Pitfalls

Lecture 10. Circuit Pitfalls Lecture 10 Circuit Pitfalls Intel Corporation jstinson@stanford.edu 1 Overview Reading Lev Signal and Power Network Integrity Chandrakasen Chapter 7 (Logic Families) and Chapter 8 (Dynamic logic) Gronowski

More information

Design and Analysis of Power Distribution Networks in PowerPC Microprocessors

Design and Analysis of Power Distribution Networks in PowerPC Microprocessors Design and Analysis of Power Distribution Networks in PowerPC Microprocessors Abhijit Dharchoudhury, Rajendran Panda, David Blaauw, Ravi Vaidyanathan Advanced Tools Group, Advanced System Technologies

More information

Lecture 07 Modeling and Optimization of VLSI Interconnects (ECG 415/615 Introduction to VLSI System Design)

Lecture 07 Modeling and Optimization of VLSI Interconnects (ECG 415/615 Introduction to VLSI System Design) Lecture 07 Modeling and Optimization of VLSI Interconnects (ECG 415/615 Introduction to VLSI System Design) Dr. Yingtao Jiang Department of Electrical and Computer Engineering University of Nevada Las

More information

Lecture 9: Cell Design Issues

Lecture 9: Cell Design Issues Lecture 9: Cell Design Issues MAH, AEN EE271 Lecture 9 1 Overview Reading W&E 6.3 to 6.3.6 - FPGA, Gate Array, and Std Cell design W&E 5.3 - Cell design Introduction This lecture will look at some of the

More information

induced Aging g Co-optimization for Digital ICs

induced Aging g Co-optimization for Digital ICs International Workshop on Emerging g Circuits and Systems (2009) Leakage power and NBTI- induced Aging g Co-optimization for Digital ICs Yu Wang Assistant Prof. E.E. Dept, Tsinghua University, China On-going

More information

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces DesignCon 2010 On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces Ralf Schmitt, Rambus Inc. [Email: rschmitt@rambus.com] Hai Lan, Rambus Inc. Ling Yang, Rambus Inc. Abstract

More information

ELEC Digital Logic Circuits Fall 2015 Delay and Power

ELEC Digital Logic Circuits Fall 2015 Delay and Power ELEC - Digital Logic Circuits Fall 5 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849 http://www.eng.auburn.edu/~vagrawal

More information

Low Noise Amplifier Design

Low Noise Amplifier Design THE UNIVERSITY OF TEXAS AT DALLAS DEPARTMENT OF ELECTRICAL ENGINEERING EERF 6330 RF Integrated Circuit Design (Spring 2016) Final Project Report on Low Noise Amplifier Design Submitted To: Dr. Kenneth

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 EEN689: Special Topics in High-Speed Lins ircuits and Systems Spring 2010 Lecture 21: rosstal Sam Palermo Analog & Mixed-Signal enter Texas A&M University Announcements HW6 will be posted today and due

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing

System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing Larry Smith, Shishuang Sun, Peter Boyle, Bozidar Krsnik Altera Corp. Abstract-Power

More information

Understanding and Minimizing Ground Bounce

Understanding and Minimizing Ground Bounce Fairchild Semiconductor Application Note June 1989 Revised February 2003 Understanding and Minimizing Ground Bounce As system designers begin to use high performance logic families to increase system performance,

More information

PROGRAMMABLE ASIC INTERCONNECT

PROGRAMMABLE ASIC INTERCONNECT PROGRAMMABLE ASIC INTERCONNECT The structure and complexity of the interconnect is largely determined by the programming technology and the architecture of the basic logic cell The first programmable ASICs

More information

Lecture 13: Interconnects in CMOS Technology

Lecture 13: Interconnects in CMOS Technology Lecture 13: Interconnects in CMOS Technology Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 10/18/18 VLSI-1 Class Notes Introduction Chips are mostly made of wires

More information

Measurement Results for a High Throughput MCM

Measurement Results for a High Throughput MCM Measurement Results for a High Throughput MCM Funding: Paul Franzon Toby Schaffer, Alan Glaser, Steve Lipa North Carolina State University paulf@ncsu.edu www.ece.ncsu.edu/erl Outline > Heterogeneous System

More information

Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li

Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li Fast Estimation and Mitigation of Substrate Noise in Early Design Stage for Large Mixed Signal SOCs Shi-Hao Chen, Hsiung-Kai Chen, Albert Li Design Service Division, GLOBAL UNICHIP CORP., Taiwan, ROC Xiaopeng

More information

Experiment 2: Transients and Oscillations in RLC Circuits

Experiment 2: Transients and Oscillations in RLC Circuits Experiment 2: Transients and Oscillations in RLC Circuits Will Chemelewski Partner: Brian Enders TA: Nielsen See laboratory book #1 pages 5-7, data taken September 1, 2009 September 7, 2009 Abstract Transient

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

EECS 141: SPRING 98 FINAL

EECS 141: SPRING 98 FINAL University of California College of Engineering Department of Electrical Engineering and Computer Science J. M. Rabaey 511 Cory Hall TuTh3:3-5pm e141@eecs EECS 141: SPRING 98 FINAL For all problems, you

More information

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group Title: Package Model Proposal Source: Nanju Na (nananju@us.ibm.com) Jean Audet (jaudet@ca.ibm.com), David R Stauffer (dstauffe@us.ibm.com) Date: Dec 27 IBM Systems and Technology Group Abstract: New package

More information

EE-382M-8 VLSI II. Early Design Planning: Back End. Mark McDermott. The University of Texas at Austin. EE 382M-8 VLSI-2 Page Foil # 1 1

EE-382M-8 VLSI II. Early Design Planning: Back End. Mark McDermott. The University of Texas at Austin. EE 382M-8 VLSI-2 Page Foil # 1 1 EE-382M-8 VLSI II Early Design Planning: Back End Mark McDermott EE 382M-8 VLSI-2 Page Foil # 1 1 Backend EDP Flow The project activities will include: Determining the standard cell and custom library

More information

I/O Design EE141. Announcements. EE141-Fall 2006 Digital Integrated Circuits. Class Material. Pads + ESD Protection.

I/O Design EE141. Announcements. EE141-Fall 2006 Digital Integrated Circuits. Class Material. Pads + ESD Protection. EE141-Fall 2006 Digital Integrated Circuits nnouncements Homework 9 due on Thursday Lecture 26 I/O 1 2 Class Material Last lecture Timing Clock distribution Today s lecture I/O Power distribution Intro

More information

Power Distribution Paths in 3-D ICs

Power Distribution Paths in 3-D ICs Power Distribution Paths in 3-D ICs Vasilis F. Pavlidis Giovanni De Micheli LSI-EPFL 1015-Lausanne, Switzerland {vasileios.pavlidis, giovanni.demicheli}@epfl.ch ABSTRACT Distributing power and ground to

More information

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications 3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications Darryl Kostka, CST of America Taigon Song and Sung Kyu Lim, Georgia Institute of Technology Outline Introduction TSV Array

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays,

Announcements. Advanced Digital Integrated Circuits. Project proposals due today. Homework 1. Lecture 8: Gate delays, EE4 - Spring 008 Advanced Digital Integrated Circuits Lecture 8: Gate delays, Variability Announcements Project proposals due today Title Team members ½ page ~5 references Post it on your EECS web page

More information

Development and Validation of IC Models for EMC

Development and Validation of IC Models for EMC Development and Validation of D. Beetner Missouri University University of Missouri of Science - Rolland Technology UMR EMC Laboratory 1 Who is the UMR/MS&T EMC Laboratory? People 5 professors 3 graduate

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

Efficient Decoupling Capacitor Planning via Convex Programming Methods

Efficient Decoupling Capacitor Planning via Convex Programming Methods Efficient Decoupling Capacitor Planning via Convex Programming Methods Andrew B. Kahng UC San Diego La Jolla, CA 92093 abk@ucsd.edu Bao Liu UC San Diego La Jolla, CA 92093 bliu@cs.ucsd.edu Sheldon X.-D.

More information

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis Microcontroller Systems ELET 3232 Topic 13: Load Analysis 1 Objective To understand hardware constraints on embedded systems Define: Noise Margins Load Currents and Fanout Capacitive Loads Transmission

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 CPE/EE 427, CPE 527 VLSI Design I L02: Design Metrics Department of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-03f

More information

Automatic Package and Board Decoupling Capacitor Placement Using Genetic Algorithms and M-FDM

Automatic Package and Board Decoupling Capacitor Placement Using Genetic Algorithms and M-FDM June th 2008 Automatic Package and Board Decoupling Capacitor Placement Using Genetic Algorithms and M-FDM Krishna Bharath, Ege Engin and Madhavan Swaminathan School of Electrical and Computer Engineering

More information

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3 EE141 Fall 2005 Lecture 2 Design Metrics Admin Page Everyone should have a UNIX account on Cory! This will allow you to run HSPICE! If you do not have an account, check: http://www-inst.eecs.berkeley.edu/usr/

More information

Lecture 18 SOI Design Power Distribution. Midterm project reports due tomorrow. Please post links on your project web page

Lecture 18 SOI Design Power Distribution. Midterm project reports due tomorrow. Please post links on your project web page EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 18 SOI Design Power Distribution Announcements Midterm project reports due tomorrow Please post links on your project web

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

On-Chip Inductance Modeling and Analysis

On-Chip Inductance Modeling and Analysis On-Chip Inductance Modeling and Analysis Kaushik Gala, ladimir Zolotov, Rajendran Panda, Brian Young, Junfeng Wang, David Blaauw Motorola Inc., Austin TX 78729 kaushik.gala@motorola.com Abstract With operating

More information

Active Decap Design Considerations for Optimal Supply Noise Reduction

Active Decap Design Considerations for Optimal Supply Noise Reduction Active Decap Design Considerations for Optimal Supply Noise Reduction Xiongfei Meng and Resve Saleh Dept. of ECE, University of British Columbia, 356 Main Mall, Vancouver, BC, V6T Z4, Canada E-mail: {xmeng,

More information

Signal Integrity for Gigascale SOC Design. Professor Lei He ECE Department University of Wisconsin, Madison

Signal Integrity for Gigascale SOC Design. Professor Lei He ECE Department University of Wisconsin, Madison Signal Integrity for Gigascale SOC Design Professor Lei He ECE Department University of Wisconsin, Madison he@ece.wisc.edu http://eda.ece.wisc.edu Outline Capacitive noise Technology trends Capacitance

More information

FAMILIARIZATION WITH DIGITAL PULSE AND MEASUREMENTS OF THE TRANSIENT TIMES

FAMILIARIZATION WITH DIGITAL PULSE AND MEASUREMENTS OF THE TRANSIENT TIMES EXPERIMENT 1 FAMILIARIZATION WITH DIGITAL PULSE AND MEASUREMENTS OF THE TRANSIENT TIMES REFERENCES Analysis and Design of Digital Integrated Circuits, Hodges and Jackson, pages 6-7 Experiments in Microprocessors

More information

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014 Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application Institute of Microelectronics 22 April 2014 Challenges for HD Fan-Out Electrical Design 15-20 mm 7 mm 6 mm SI/PI with multilayer

More information

電子電路. Memory and Advanced Digital Circuits

電子電路. Memory and Advanced Digital Circuits 電子電路 Memory and Advanced Digital Circuits Hsun-Hsiang Chen ( 陳勛祥 ) Department of Electronic Engineering National Changhua University of Education Email: chenhh@cc.ncue.edu.tw Spring 2010 2 Reference Microelectronic

More information

Power Estimation. Naehyuck Chang Dept. of EECS/CSE Seoul National University

Power Estimation. Naehyuck Chang Dept. of EECS/CSE Seoul National University Power Estimation Naehyuck Chang Dept. of EECS/CSE Seoul National University naehyuck@snu.ac.kr 1 Contents Embedded Low-Power ELPL Laboratory SPICE power analysis Power estimation basics Signal probability

More information

Advanced Digital Design

Advanced Digital Design Advanced Digital Design Introduction & Motivation by A. Steininger and M. Delvai Vienna University of Technology Outline Challenges in Digital Design The Role of Time in the Design The Fundamental Design

More information

On the Interaction of Power Distribution Network with Substrate

On the Interaction of Power Distribution Network with Substrate On the Interaction of Power Distribution Network with Rajendran Panda, Savithri Sundareswaran, David Blaauw Rajendran.Panda@motorola.com, Savithri_Sundareswaran-A12801@email.mot.com, David.Blaauw@motorola.com

More information

Substrate Level Noise Analysis Tool (SNAT) in Mixed Signal circuits

Substrate Level Noise Analysis Tool (SNAT) in Mixed Signal circuits Substrate Level Noise Analysis Tool (SNAT) in Mixed Signal circuits Anish joseph Research Scholar Abstract: There exist several tools that can be used to predict the substrate noise profile of digital

More information

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design Harris Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture

More information

Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques

Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques Safeen Huda and Jason Anderson International Symposium on Physical Design Santa Rosa, CA, April 6, 2016 1 Motivation FPGA power increasingly

More information

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect

Deep Submicron Interconnect. 0.18um vs. 013um Interconnect Deep Submicron Interconnect R. Dept. of ECE University of British Columbia res@ece.ubc.ca 0.18um vs. 013um Interconnect 0.18µm 5-layer Al Metal Process 0.13µm 8-layer Cu Metal Process 1 Interconnect Scaling

More information