Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014

Size: px
Start display at page:

Download "Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014"

Transcription

1 Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application Institute of Microelectronics 22 April 2014

2 Challenges for HD Fan-Out Electrical Design mm 7 mm 6 mm SI/PI with multilayer RDL on FO-WLP Signal path from chip to RDL to microbump/bga ball I/R drop analysis for Power Delivery Network Solution: Perform accurate ADS simulation, calibrate vs. Measurement IC 3 IC 1 IC 2 ~7 mm ~5 mm Integrated high-q inductor, antenna design Move on-chip inductor from expensive Si to MC to reduce the cost. Solution: Design Inductors, and provide accurate Si-verified models for Mobile applications. Parasitic, RLC, extraction for Fine pitch RDL PDK for HD FO-WLP Accurate RLC models for fine pitch RDL Solution: Design Technology characterization vehicle. Develop PDK mm Package Size vs. Application Smart Phone: 15 mm x 15 mm Tablet: 20 mm x 20 mm Routability of Bump/Pads to Package I/O Reduce # of RDL layers to reduce the cost. Optimize pitch, size and spacing of Interconnect. Solution: Develop EDA methodology with IME Fan- Out PDK P2

3 ~15 mm Applications: Phone vs. Tablet Test Vehicle 1 Test Vehicle 2 IC 3` IC 1 IC 2 ~7 mm ~5 mm IC 1 ~8 mm IC 2 ~6 mm ~15 mm Key Features # ICs Bump/Pad Count Package Size(mm*mm) Pitch (mm) ~20 mm Package Thickness (mm) Package I/O count TV 1 (application: Smart phone) 2 IC 1: Baseband processor with ~2500 pad, IC 2: RFA/PMIC with ~500 pad ~15* mm ball pitch ~0.45 ~ 1000 TV 2 (application: Tablet) 3 IC 1: AP with~4000 pad, IC 2:baseband chip with~1000 pad, IC 3: RFA/PMIC with ~500 pad ~20* mm ball pitch ~0.45 ~ 2000 P3

4 Electrical Test Vehicle SI/PI for Fine Pitch RDL Cu T=3 µm Polymer T=3 µm L=5 µm S=5 µm G S G G Grounded Co-planar Waveguide Characterization of RDL Interconnects using Polymer Dielectric Interconnect Thickness L/S R C RC Delay Cu-RDL (10mm) 3 µm 5 µm/5 µm 1.12 Ω/mm ff/mm fs/mm Simulation Measurement Challenges: Characterization and Design of low loss wide bandwidth interconnects. Solution: 1. RC characterization for interconnect, bumps (R and C) vs. Pitch, Cu-thickness, Layout 2. Transmission line S parameter characterization (measurement and fullwave simulation) 3. ADS Channel Simulation Eye Diagram and comparison to Measurements on Vehicle 4. ADS Transient Simulation IR drop evaluation for PDN P4

5 Parasitic, RLC, extraction for Fine pitch RDL High Freq Measurements: C-V measurements RF Measurements Network Analyzer Meander Forks: M1-M3, RDL resistance and interline capacitance characterization Stacked Metals: RDL Line Capacitance Resistance Measurements: Four point Kelvin Structure Transmission Line Charaterization M1-M2; M2-M3 Daisy chains RDL chains for Resistance, Defectivity Scope of Work: Design and Characterization of comprehensive test structure suite for technology assessment, electrical modeling, PDK P5

6 Routability Analysis and EDA Flow with PDK HD Fan-Out PDK - Design Rules, DRC Deck - LVS, Parasitic Extraction - Cadence/Synopsys EDA Flow Total Available Routing Length.# RoutingLay ers. X. Y P w : Congestion Factor (empirical based on design, Line/Space, Via) X: package X-Size Y: package Y-Size P w : Wiring Pitch Application, Design Inputs (IC size, I/O#) Perform Initial Wiring Density Analysis, Stack estimate: Calculate Worst-case Delay/Maximum Operating Frequency. SI/PI Physical Implementation on EDA Platform HD-FanOut Analysis shows 4 µm RDL pitch allows adequate margin for routability for both TV-1, TV-2 Challenge: Verification on EDA flow for adequate electrical performance (SI/PI)

7 Q11 Integrated high-q inductor, antenna design L11 ANSOFT ANSOFT Integrated inductor on MC MC Si die # Turns=4 L = GHz Q = 2.5 GHz Q max = 18.4, SRF = 17 GHz m2 m1 XY Plot 2 Name X Y m m D80S13W Freq [GHz] 5.00 Inductor Q Factor Curve Info Q11 Setup1 : Sw eep $adj='0mm' $L='0mm' $w ='0.04mm' dia_via='10um' m1 m Freq [GHz] Inductor design on MC Structure Number of turns Trace width Trace spacing Coil area Challenge: Design and characterization of integrated high-q inductors on MC, and exploration of other IPDs on MC (e.g. antenna, filter) XY Plot 1 Name X Y m m Curve Info D80S13W11 L11 Setup1 : Sweep $adj='0mm' $L='0mm' $w='0.04mm' dia_via='10um' Inductance (nh) Q optimization P7

Silicon Interposers enable high performance capacitors

Silicon Interposers enable high performance capacitors Interposers between ICs and package substrates that contain thin film capacitors have been used previously in order to improve circuit performance. However, with the interconnect inductance due to wire

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

Signal Integrity Modeling and Simulation for IC/Package Co-Design

Signal Integrity Modeling and Simulation for IC/Package Co-Design Signal Integrity Modeling and Simulation for IC/Package Co-Design Ching-Chao Huang Optimal Corp. October 24, 2004 Why IC and package co-design? The same IC in different packages may not work Package is

More information

Advanced Wafer Level Packaging of RF-MEMS with RDL Inductor

Advanced Wafer Level Packaging of RF-MEMS with RDL Inductor Advanced Wafer Level Packaging of RF-MEMS with RDL Inductor Paul Castillou, Roberto Gaddi, Rob van Kampen, Yaojian Lin*, Babak Jamshidi** and Seung Wook Yoon*** Cavendish Kinetics, 2960 North First Street,

More information

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Scott Goodwin 1, Erik Vick 2 and Dorota Temple 2 1 Micross Advanced Interconnect Technology Micross

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller Corporate Vice President, WW RnD & Technology Strategy 1 In the Beginning ewlb 2 Fan Out Packaging Emerges Introduction of Fan Out (ewlb) Marketed

More information

Foundry WLSI Technology for Power Management System Integration

Foundry WLSI Technology for Power Management System Integration 1 Foundry WLSI Technology for Power Management System Integration Chuei-Tang Wang, Chih-Lin Chen, Jeng-Shien Hsieh, Victor C.Y. Chang, Douglas Yu R&D,TSMC Oct. 2016 2 Motivation Outline PMIC system integration

More information

SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING

SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING M Bartek 1, S M Sinaga 1, G Zilber 2, D Teomin 2, A Polyakov 1, J N Burghartz 1 1 Delft University of Technology, Lab of

More information

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen Si-Interposer Collaboration in IC/PKG/SI Eric Chen 4/Jul/2014 Design Overview U-bump Logic IC Mem IC C4 bump Logic IC Silicon/Organic substrate Interposer Mem IC CAP Package substrate Solder Ball VRM BGA

More information

Fraunhofer IZM - ASSID

Fraunhofer IZM - ASSID FRAUNHOFER-INSTITUT FÜR Zuverlässigkeit und Mikrointegration IZM Fraunhofer IZM - ASSID All Silicon System Integration Dresden Heterogeneous 3D Wafer Level System Integration 3D system integration is one

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Application Note 5525

Application Note 5525 Using the Wafer Scale Packaged Detector in 2 to 6 GHz Applications Application Note 5525 Introduction The is a broadband directional coupler with integrated temperature compensated detector designed for

More information

Electronic Costing & Technology Experts

Electronic Costing & Technology Experts Electronic Costing & Technology Experts 21 rue la Nouë Bras de Fer 44200 Nantes France Phone : +33 (0) 240 180 916 email : info@systemplus.fr www.systemplus.fr September 2016 Version 1 Written by Stéphane

More information

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration Tailong Shi, Chintan Buch,Vanessa Smet, Yoichiro Sato, Lutz Parthier, Frank Wei

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

Case Study Package Design & SI/PI analysis

Case Study Package Design & SI/PI analysis Caliber Interconnect Solutions Design for perfection Case Study Package Design & SI/PI analysis Caliber Interconnect Solutions (Pvt) Ltd No 6,1 st Street Gandhi Nagar, Kavundampalayam, Coimbatore-30. Tamil

More information

Experiences and Benefits of 16nm and 10nm FinFET Development

Experiences and Benefits of 16nm and 10nm FinFET Development Experiences and Benefits of 16nm and 10nm FinFET Development Jeff Galloway, Paweł Banachowicz, Michael Kroger, Brian Eplett, Andrew Cole, Randy Caplan Silicon Creations Process Experience Silicon Creations

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

DC-DC Power Conversion with CMOS Integrated Thin-Film Inductors. Noah Sturcken, PhD - Ferric, Inc. CEO

DC-DC Power Conversion with CMOS Integrated Thin-Film Inductors. Noah Sturcken, PhD - Ferric, Inc. CEO rric DC-DC Power Conversion with CMOS Integrated Thin-Film Inductors Noah Sturcken, PhD - rric, Inc. CEO FERRIC THE COMPANY Fabless semiconductor technology company, founded in 2011 Located in New York

More information

The Future of Packaging ~ Advanced System Integration

The Future of Packaging ~ Advanced System Integration The Future of Packaging ~ Advanced System Integration Enabling a Microelectronic World R. Huemoeller SVP, Adv. Product / Platform Develop June 2013 Product Segments End Market % Share Summary 2 New Product

More information

System Co-design and optimization for high performance and low power SoC s

System Co-design and optimization for high performance and low power SoC s System Co-design and optimization for high performance and low power SoC s Siva S Kothamasu, Texas Instruments Inc, Dallas Snehamay Sinha, Texas Instruments Inc, Dallas Amit Brahme, Texas Instruments India

More information

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014 Considerations in High-Speed High Performance Die-Package-Board Co-Design Jenny Jiang Altera Packaging Department October 2014 Why Co-Design? Complex Multi-Layer BGA Package Horizontal and vertical design

More information

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC

An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC An EM-aware methodology for a high-speed multi-protocol 28Gbps SerDes design with TSMC 16FFC Bud Hunter, SerDes Analog IC Design Manager, Wipro Kelly Damalou, Sr. Technical Account Manager, Helic TSMC

More information

Intro. to PDN Planning PCB Stackup Technology Series

Intro. to PDN Planning PCB Stackup Technology Series Introduction to Power Distribution Network (PDN) Planning Bill Hargin In-Circuit Design b.hargin@icd.com.au 425-301-4425 Intro. to PDN Planning 1. Intro/Overview 2. Bypass/Decoupling Strategy 3. Plane

More information

MMIC/RFIC Packaging Challenges Webcast (July 28, AM PST 12PM EST)

MMIC/RFIC Packaging Challenges Webcast (July 28, AM PST 12PM EST) MMIC/RFIC Packaging Challenges Webcast ( 9AM PST 12PM EST) Board Package Chip HEESOO LEE Agilent EEsof 3DEM Technical Lead 1 Agenda 1. MMIC/RFIC packaging challenges 2. Design techniques and solutions

More information

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation West Coast Luncheon January 15, 2014. PROMEX PROMEX INDUSTRIES INC. MICROELECTRONICS ASSSEMBLY TECHNOLOGIES The QFN Platform as a Chip Packaging Foundation 3075 Oakmead Village Drive Santa Clara CA Ɩ 95051

More information

T est POST OFFICE BOX 1927 CUPERTINO, CA TEL E P H ONE (408) FAX (408) ARIES ELECTRONICS

T est POST OFFICE BOX 1927 CUPERTINO, CA TEL E P H ONE (408) FAX (408) ARIES ELECTRONICS G iga T est L abs POST OFFICE BOX 1927 CUPERTINO, CA 95015 TEL E P H ONE (408) 524-2700 FAX (408) 524-2777 ARIES ELECTRONICS BGA SOCKET (0.80MM TEST CENTER PROBE CONTACT) Final Report Electrical Characterization

More information

--- An integrated 3D EM design flow for EM/Circuit Co-Design

--- An integrated 3D EM design flow for EM/Circuit Co-Design ADS users group meeting 2009 Rome 13/05, Böblingen 14-15/05, Massy 16/06 --- An integrated 3D EM design flow for EM/Circuit Co-Design Motivations and drivers for co-design Throw-The-Die-Over-The-Wall,

More information

Laminate Based Fan-Out Embedded Die Technologies: The Other Option

Laminate Based Fan-Out Embedded Die Technologies: The Other Option Laminate Based Fan-Out Embedded Die Technologies: The Other Option Theodore (Ted) G. Tessier, Tanja Karila*, Tuomas Waris*, Mark Dhaenens and David Clark FlipChip International, LLC 3701 E University Drive

More information

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011

Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design. Sonnet Application Note: SAN-201B July 2011 Using Sonnet EM Analysis with Cadence Virtuoso in RFIC Design Sonnet Application Note: SAN-201B July 2011 Description of Sonnet Suites Professional Sonnet Suites Professional is an industry leading full-wave

More information

Research in Support of the Die / Package Interface

Research in Support of the Die / Package Interface Research in Support of the Die / Package Interface Introduction As the microelectronics industry continues to scale down CMOS in accordance with Moore s Law and the ITRS roadmap, the minimum feature size

More information

Challenges and More Challenges SW Test Workshop June 9, 2004

Challenges and More Challenges SW Test Workshop June 9, 2004 Innovating Test Technologies Challenges and More Challenges SW Test Workshop June 9, 2004 Cascade Microtech Pyramid Probe Division Ken Smith Dean Gahagan Challenges and More Challenges Probe card requirements

More information

Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors. Glass Packages

Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors. Glass Packages 2016 IEEE 66th Electronic Components and Technology Conference Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors in Glass Packages Min Suk Kim, Markondeya Raj Pulugurtha, Zihan

More information

Through Glass Via (TGV) Technology for RF Applications

Through Glass Via (TGV) Technology for RF Applications Through Glass Via (TGV) Technology for RF Applications C. H. Yun 1, S. Kuramochi 2, and A. B. Shorey 3 1 Qualcomm Technologies, Inc. 5775 Morehouse Dr., San Diego, California 92121, USA Ph: +1-858-651-5449,

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

AWR. SIP Flow White Paper UNDERSTANDING AVAILABLE TOOLS FOR RF SYSTEM-IN-PACKAGE AND MULTI-CHIP-MODULE DESIGN AND OPTIMIZATION

AWR. SIP Flow White Paper UNDERSTANDING AVAILABLE TOOLS FOR RF SYSTEM-IN-PACKAGE AND MULTI-CHIP-MODULE DESIGN AND OPTIMIZATION UNDERSTANDING AVAILABLE TOOLS FOR RF SYSTEM-IN-PACKAGE AND MULTI-CHIP-MODULE DESIGN AND OPTIMIZATION RF system-in-package (SiP) and multi-chip-module (MCM) designs present engineers with the challenge

More information

Wiring Parasitics. Contact Resistance Measurement and Rules

Wiring Parasitics. Contact Resistance Measurement and Rules Wiring Parasitics Contact Resistance Measurement and Rules Connections between metal layers and nonmetal layers are called contacts. Connections between metal layers are called vias. For non-critical design,

More information

IFSIN. WEB PAGE Fall ://weble.upc.es/ifsin/

IFSIN. WEB PAGE   Fall ://weble.upc.es/ifsin/ IFSIN IMPLEMENTACIÓ FÍSICA DE SISTEMES INTEGRATS NANOMÈTRICS IMPLEMENTACIÓN N FÍSICA F DE SISTEMAS INTEGRADOS NANOMÉTRICOS PHYSICAL IMPLEMENTATION OF NANOMETER INTEGRATED SYSTEMS Fall 2008 Prof. Xavier

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

New Wave SiP solution for Power

New Wave SiP solution for Power New Wave SiP solution for Power Vincent Lin Corporate R&D ASE Group APEC March 7 th, 2018 in San Antonio, Texas. 0 Outline Challenges Facing Human Society Energy, Environment and Traffic Autonomous Driving

More information

CIRCULARLY POLARIZED SLOTTED APERTURE ANTENNA WITH COPLANAR WAVEGUIDE FED FOR BROADBAND APPLICATIONS

CIRCULARLY POLARIZED SLOTTED APERTURE ANTENNA WITH COPLANAR WAVEGUIDE FED FOR BROADBAND APPLICATIONS Journal of Engineering Science and Technology Vol. 11, No. 2 (2016) 267-277 School of Engineering, Taylor s University CIRCULARLY POLARIZED SLOTTED APERTURE ANTENNA WITH COPLANAR WAVEGUIDE FED FOR BROADBAND

More information

Demystifying Vias in High-Speed PCB Design

Demystifying Vias in High-Speed PCB Design Demystifying Vias in High-Speed PCB Design Keysight HSD Seminar Mastering SI & PI Design db(s21) E H What is Via? Vertical Interconnect Access (VIA) An electrical connection between layers to pass a signal

More information

Wafer-Level Calibration & Verification up to 750 GHz. Choon Beng Sia, Ph.D. Mobile:

Wafer-Level Calibration & Verification up to 750 GHz. Choon Beng Sia, Ph.D.   Mobile: Wafer-Level Calibration & Verification up to 750 GHz Choon Beng Sia, Ph.D. Email: Choonbeng.sia@cmicro.com Mobile: +65 8186 7090 2016 Outline LRRM vs SOLT Calibration Verification Over-temperature RF calibration

More information

Optimizing Design of a Probe Card using a Field Solver

Optimizing Design of a Probe Card using a Field Solver Optimizing Design of a Probe Card using a Field Solver Rey Rincon, r-rincon@ti.com Texas Instruments 13020 Floyd Rd MS 3616 Dallas, TX. 75243 972-917-4303 Eric Bogatin, bogatin@ansoft.com Bill Beale, beale@ansoft.com

More information

Innovations in EDA Webcast Series

Innovations in EDA Webcast Series Welcome Innovations in EDA Webcast Series August 2, 2012 Jack Sifri MMIC Design Flow Specialist IC, Laminate, Package Multi-Technology PA Module Design Methodology Realizing the Multi-Technology Vision

More information

Sectional Design Standard for High Density Interconnect (HDI) Printed Boards

Sectional Design Standard for High Density Interconnect (HDI) Printed Boards IPC-2226 ASSOCIATION CONNECTING ELECTRONICS INDUSTRIES Sectional Design Standard for High Density Interconnect (HDI) Printed Boards Developed by the HDI Design Subcommittee (D-41) of the HDI Committee

More information

How Long is Too Long? A Via Stub Electrical Performance Study

How Long is Too Long? A Via Stub Electrical Performance Study How Long is Too Long? A Via Stub Electrical Performance Study Michael Rowlands, Endicott Interconnect Michael.rowlands@eitny.com, 607.755.5143 Jianzhuang Huang, Endicott Interconnect 1 Abstract As signal

More information

A passive circuit based RF optimization methodology for wireless sensor network nodes. Article (peer-reviewed)

A passive circuit based RF optimization methodology for wireless sensor network nodes. Article (peer-reviewed) Title Author(s) Editor(s) A passive circuit based RF optimization methodology for wireless sensor network nodes Zheng, Liqiang; Mathewson, Alan; O'Flynn, Brendan; Hayes, Michael; Ó Mathúna, S. Cian Wu,

More information

Adaptive Patterning. ISS 2019 January 8th

Adaptive Patterning. ISS 2019 January 8th Creating a system to balance natural variation ISS 2019 January 8th Tim Olson Founder & CTO Let s start with an industry perspective Historically, three distinct electronic industry silos Foundries SATS

More information

Controlled Impedance Line Designer

Controlled Impedance Line Designer Heidi Barnes WW HSD Application Engineer Controlled Impedance Line Designer Stephen Slater HSD Product Manager EDA Simulation Tools for Power Integrity Agenda 1. Designing a channel for a desired impedance

More information

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER World s First LPDDR3 Enabling for Mobile Application Processors System Contents Introduction Problem Statements at Early mobile platform Root-cause, Enablers

More information

Getting faster bandwidth

Getting faster bandwidth Getting faster bandwidth HervéGrabas Getting faster bandwidth - Hervé Grabas 1 Present bandwith status Limiting factors: Cables Board Bonding wires Input line Sampling capacitance and switch Getting faster

More information

Authors: Feng Shi, Anders Ekholm, Zilwan Mahmod & David Zhang.

Authors: Feng Shi, Anders Ekholm, Zilwan Mahmod & David Zhang. A practical DOE Application in statistical SI analysis using IBIS & How can we make IBIS work beyond Best Case/Worst Case? Asian IBIS Summit November 9, 2015 Shanghai, China Authors: Feng Shi, Anders Ekholm,

More information

Frequency Multiplier Development at e2v Technologies

Frequency Multiplier Development at e2v Technologies Frequency Multiplier Development at e2v Technologies Novak Farrington UK Millimetre-Wave User Group Meeting National Physical Laboratory 05-10-09 Outline Sources available Brief overview of doubler operation

More information

RF Board Design for Next Generation Wireless Systems

RF Board Design for Next Generation Wireless Systems RF Board Design for Next Generation Wireless Systems Page 1 Introduction Purpose: Provide basic background on emerging WiMax standard Introduce a new tool for Genesys that will aide in the design and verification

More information

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec20 cwliu@twins.ee.nctu.edu.tw

More information

Session 4: Mixed Signal RF

Session 4: Mixed Signal RF Sophia Antipolis October 5 th & 6 th 2005 Session 4: Mixed Signal RF Technology, Design and Manufacture of RF SiP Chris Barratt, Michel Beghin, Insight SiP Insight SiP Summary Introduction Definition of

More information

Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct , IWLPC

Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct , IWLPC PACKAGE-ON-PACKAGE INTERCONNECT FOR FAN-OUT WAFER LEVEL PACKAGES Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct 18-20 2016, IWLPC 1 Outline Laminate to Fan-Out

More information

When Should You Apply 3D Planar EM Simulation?

When Should You Apply 3D Planar EM Simulation? When Should You Apply 3D Planar EM Simulation? Agilent EEsof EDA IMS 2010 MicroApps Andy Howard Agilent Technologies 1 3D planar EM is now much more of a design tool Solves bigger problems and runs faster

More information

On-chip Inductors and Transformer

On-chip Inductors and Transformer On-chip Inductors and Transformer Applied Electronics Conference SP1.4 Supply on a Chip - PwrSoC Palm Springs, California 25 Feb 2010 James J. Wang Founder LLC 3131 E. Muirwood Drive Phoenix, Arizona 85048

More information

80GHz Notch Filter Design

80GHz Notch Filter Design DIGITAL PRODUCTIVITY FLAGSHIP 80GHz Notch Filter Design Mark De Alwis 10 June 2015 ii 80GHz Notch Filter Design Important disclaimer CSIRO advises that the information contained in this publication comprises

More information

EDA Toolsets for RF Design & Modeling

EDA Toolsets for RF Design & Modeling Yiannis Moisiadis, Errikos Lourandakis, Sotiris Bantas Helic, Inc. 101 Montgomery str., suite 1950 San Fransisco, CA 94104, USA Email: {moisiad, lourandakis, s.bantas}@helic.com Abstract This paper presents

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis Microcontroller Systems ELET 3232 Topic 13: Load Analysis 1 Objective To understand hardware constraints on embedded systems Define: Noise Margins Load Currents and Fanout Capacitive Loads Transmission

More information

DATASHEET CADENCE QRC EXTRACTION

DATASHEET CADENCE QRC EXTRACTION DATASHEET Cadence QRC Etraction, the industry s premier 3D fullchip parasitic etractor that is independent of design style or flow, is a fast and accurate RLCK etraction solution used during design implementation

More information

Automotive PCB SI and PI analysis

Automotive PCB SI and PI analysis Automotive PCB SI and PI analysis SI PI Analysis Signal Integrity S-Parameter Timing analysis Eye diagram Power Integrity Loop / Partial inductance DC IR-Drop AC PDN Impedance Power Aware SI Signal Integrity

More information

GigaTest Labs CINCH 1 MM PITCH CIN::APSE LGA SOCKET. Final Report. August 31, Electrical Characterization

GigaTest Labs CINCH 1 MM PITCH CIN::APSE LGA SOCKET. Final Report. August 31, Electrical Characterization GigaTest Labs POST OFFICE OX 1927 CUPERTINO, C TELEPHONE (408) 524-2700 FX (408) 524-2777 CINCH 1 MM PITCH CIN::PSE LG SOCKET Final Report ugust 31, 2001 Electrical Characterization Table of Contents Subject

More information

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Presented by Chad Smutzer Mayo Clinic Special Purpose Processor Development

More information

Introduction to EMI/EMC Challenges and Their Solution

Introduction to EMI/EMC Challenges and Their Solution Introduction to EMI/EMC Challenges and Their Solution Dr. Hany Fahmy HSD Application Expert Agilent Technologies Davy Pissort, K.U. Leuven Charles Jackson, Nvidia Charlie Shu, Nvidia Chen Wang, Nvidia

More information

Evaluation of Package Properties for RF BJTs

Evaluation of Package Properties for RF BJTs Application Note Evaluation of Package Properties for RF BJTs Overview EDA simulation software streamlines the development of digital and analog circuits from definition of concept and estimation of required

More information

Data Sheet _ R&D. Rev Date: 8/17

Data Sheet _ R&D. Rev Date: 8/17 Data Sheet _ R&D Rev Date: 8/17 Micro Bump In coming years the interconnect density for several applications such as micro display, imaging devices will approach the pitch 10um and below. Many research

More information

Cell size and box size in Sonnet RFIC inductor analysis

Cell size and box size in Sonnet RFIC inductor analysis Cell size and box size in Sonnet RFIC inductor analysis Purpose of this document: This document describes the effect of some analysis settings in Sonnet: Influence of the cell size Influence of thick metal

More information

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology

3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology 3D TSV Micro Cu Column Chip-to-Substrate/Chip Assmbly/Packaging Technology by Seung Wook Yoon, *K. T. Kang, W. K. Choi, * H. T. Lee, Andy C. B. Yong and Pandi C. Marimuthu STATS ChipPAC LTD, 5 Yishun Street

More information

Using ICEM Model Expert to Predict TC1796 Conducted Emission

Using ICEM Model Expert to Predict TC1796 Conducted Emission Using ICEM Model Expert to Predict TC1796 Conducted Emission E. Sicard (1), L. Bouhouch (2) (1) INSA-GEI, 135 Av de Rangueil 31077 Toulouse France (2) ESTA Agadir, Morroco Contact : etienne.sicard@insa-toulouse.fr

More information

Design and Modeling of Through-Silicon Vias for 3D Integration

Design and Modeling of Through-Silicon Vias for 3D Integration Design and Modeling of Through-Silicon Vias for 3D Integration Ivan Ndip, Brian Curran, Gerhard Fotheringham, Jurgen Wolf, Stephan Guttowski, Herbert Reichl Fraunhofer IZM & BeCAP @ TU Berlin IEEE Workshop

More information

PDN design and analysis methodology in SI&PI codesign

PDN design and analysis methodology in SI&PI codesign PDN design and analysis methodology in SI&PI codesign www.huawei.com Asian IBIS Summit, November 9, 2010, Shenzhen China Luo Zipeng (luozipeng@huawei.com) Liu Shuyao (liushuyao@huawei.com) HUAWEI TECHNOLOGIES

More information

SiP packaging technology of intelligent sensor module. Tony li

SiP packaging technology of intelligent sensor module. Tony li SiP packaging technology of intelligent sensor module Tony li 2016.9 Contents What we can do with sensors Sensor market trend Challenges of sensor packaging SiP technology to overcome challenges Overview

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

Georgia Tech. Greetings from. 3D Modeling and Process Design Kits for Flexible Hybrid Electronics (FHE) Challenges and Opportunities

Georgia Tech. Greetings from. 3D Modeling and Process Design Kits for Flexible Hybrid Electronics (FHE) Challenges and Opportunities Greetings from Georgia Tech 3D Modeling and Process Design Kits for Flexible Hybrid Electronics (FHE) Challenges and Opportunities Madhavan Swaminathan* and Sebastian Mueller John Pippin Chair in Electromagnetics

More information

surface mount chip capacitor model

surface mount chip capacitor model S (db) CAP-PPI-78N- surface mount chip capacitor model Model Features* Broadband validation: DC 4 GHz Equivalent circuit based Substrate scalable:(.9 H/Er 6.5 mil) Part value scalable: (. to pf) Land Pattern

More information

Understanding, measuring, and reducing output noise in DC/DC switching regulators

Understanding, measuring, and reducing output noise in DC/DC switching regulators Understanding, measuring, and reducing output noise in DC/DC switching regulators Practical tips for output noise reduction Katelyn Wiggenhorn, Applications Engineer, Buck Switching Regulators Robert Blattner,

More information

Optimization of Wafer Level Test Hardware using Signal Integrity Simulation

Optimization of Wafer Level Test Hardware using Signal Integrity Simulation June 7-10, 2009 San Diego, CA Optimization of Wafer Level Test Hardware using Signal Integrity Simulation Jason Mroczkowski Ryan Satrom Agenda Industry Drivers Wafer Scale Test Interface Simulation Simulation

More information

EOTPR Customer Case Studies. EUFANET Workshop: Findings OPEN?

EOTPR Customer Case Studies. EUFANET Workshop: Findings OPEN? EOTPR Customer Case Studies EUFANET Workshop: Findings OPEN? OUTLINE o EOTPR introduction basic scheme o EOTPR OPEN customer case studies o Open on BGA trace (evaluation) o Open on embedded BGA trace o

More information

Chapter 2. Inductor Design for RFIC Applications

Chapter 2. Inductor Design for RFIC Applications Chapter 2 Inductor Design for RFIC Applications 2.1 Introduction A current carrying conductor generates magnetic field and a changing current generates changing magnetic field. According to Faraday s laws

More information

The 3D Silicon Leader

The 3D Silicon Leader The 3D Silicon Leader 3D Silicon IPD for smaller and more reliable Implantable Medical Devices ATW on Advanced Packaging for Wireless Medical Devices Mohamed Mehdi Jatlaoui, Sébastien Leruez, Olivier Gaborieau,

More information

Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect

Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect Introduction - So far, have considered transistor-based logic in the face of technology scaling - Interconnect effects are also of concern

More information

Front-To-Back MMIC Design Flow with ADS. Speed MMICs to market Save money and achieve high yield

Front-To-Back MMIC Design Flow with ADS. Speed MMICs to market Save money and achieve high yield Front-To-Back MMIC Design Flow with ADS Speed MMICs to market Save money and achieve high yield 1 Unique Tools for Robust Designs, First Pass, and High Yield Yield Sensitivity Histogram (YSH) to components

More information

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design Chapter 6 Case Study: 2.4-GHz Direct Conversion Receiver The chapter presents a 0.25-µm CMOS receiver front-end designed for 2.4-GHz direct conversion RF transceiver and demonstrates the necessity and

More information

Substrates Lost in Translation

Substrates Lost in Translation 2004 IEEE PRESENTATION Components, Packaging & Manufacturing Technology (CPMT) Society, Santa Clara Valley Chapter www.cpmt.org/scv/ Substrates Lost in Translation R. Huemoeller Vice President, Substrate

More information

High Performance Silicon-Based Inductors for RF Integrated Passive Devices

High Performance Silicon-Based Inductors for RF Integrated Passive Devices Progress In Electromagnetics Research, Vol. 146, 181 186, 2014 High Performance Silicon-Based Inductors for RF Integrated Passive Devices Mei Han, Gaowei Xu, and Le Luo * Abstract High-Q inductors are

More information

Electrical Characterization of a 64 Ball Grid Array Package

Electrical Characterization of a 64 Ball Grid Array Package EMC Europe - Hamburg, 8 th September 008 Summary Electrical Characterization of a 64 Ball Grid Array A. Boyer (), E. Sicard (), M. Fer (), L. Courau () () LATTIS - INSA of Toulouse - France () ST-Microelectronics

More information

Study of the Effect of Substrate Materials on the Performance of UWB Antenna

Study of the Effect of Substrate Materials on the Performance of UWB Antenna International Journal of Computational Engineering Research Vol, 03 Issue, 4 Study of the Effect of Substrate Materials on the Performance of UWB Antenna 1 D.Ujwala, 2 D.S.Ramkiran, 3 N.Brahmani, 3 D.Sandhyarani,

More information

Experimental Analysis of Design Options for Spiral Inductors Integrated on Low Cost MCM-D Substrates

Experimental Analysis of Design Options for Spiral Inductors Integrated on Low Cost MCM-D Substrates Experimental Analysis of Design Options for Spiral Inductors Integrated on Low Cost MCM-D Substrates Didier Cottet, Janusz Grzyb, Michael Scheffler, Gerhard Tröster Electronics Laboratory, ETH Zürich Gloriastrasse

More information

Z-Wrap-110 Loss 31 July 01

Z-Wrap-110 Loss 31 July 01 Z-Wrap-11 Loss 31 July 1 Z-Axis J. Sortor TEST METHOD: To accurately measure complex impedance, it is required that the network analyzer be calibrated up to the phase plane of the unit under test (UUT).

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

Characteristic Variation of 3-D Solenoid Embedded Inductors for Wireless Communication Systems

Characteristic Variation of 3-D Solenoid Embedded Inductors for Wireless Communication Systems Characteristic Variation of 3-D Solenoid Embedded Inductors for Wireless Communication Systems Dongwook Shin, Changhoon Oh, Kilhan Kim, and Ilgu Yun The characteristic variation of 3-dimensional (3-D)

More information

EMI Reduction on an Automotive Microcontroller

EMI Reduction on an Automotive Microcontroller EMI Reduction on an Automotive Microcontroller Design Automation Conference, July 26 th -31 st, 2009 Patrice JOUBERT DORIOL 1, Yamarita VILLAVICENCIO 2, Cristiano FORZAN 1, Mario ROTIGNI 1, Giovanni GRAZIOSI

More information