Foundry WLSI Technology for Power Management System Integration

Size: px
Start display at page:

Download "Foundry WLSI Technology for Power Management System Integration"

Transcription

1 1 Foundry WLSI Technology for Power Management System Integration Chuei-Tang Wang, Chih-Lin Chen, Jeng-Shien Hsieh, Victor C.Y. Chang, Douglas Yu R&D,TSMC Oct. 2016

2 2 Motivation Outline PMIC system integration trends Foundry WLSI technology Portfolio High Performance Computing System (HPC) on CoWoS VR on CoWoS Impact of Si interposer Mobile AP and PMIC System (MAPS) on InFO Power Delivery Network PVR on InFO Summary & Outlook

3 3 Motivation: High Efficiency Power Management System PMIC System Trend: System on PCB System on /Package Shared Voltage Per-core Voltage Control V dd Scaling Low PDN Impedance Needed Long Battery Life High Efficiency Voltage Regulator System on PCB System on /Package

4 Supply voltage Core 1 Core 2 Core 3 Core 2 Core 1 Supply voltage Core 1 Core 2 Core 3 Core 2 Core 1 4 Motivation: High Efficiency Power Management System Shared voltage Per-core voltage control 1V 0.9V 1V 0.8V 0.9V 0.8V t t V dd Scaling 0.74V Low PDN Impedance Long Battery Life High Efficiency VR Low Ohmic Loss V out Vout I I I out out 2 out R I Low Ohmic Loss R a h 0 Source: 2013 ITRS & JEDEC

5 5 System Integration from PCB to Package Benefits from System on Package PDN path: Long Short Discrete component number: Dozen Several Switching frequency: 10 MHz 100 MHz L: μh nh C: μf nf Form factor: Large Small

6 IO # to Substrate or PCB 6 TSMC WLSI Technology Platforms from low cost to high performance Heterogeneous Integration InFO Multi-chip integration 3D integration Smallest form factor Cost competitive InFO_PoP DRAM 1 2 Homogeneous Integration CoWoS High performance and bandwidth Multi-chip integration Flexible integration PKG Size (mm 2 ) * WLSI: Wafer Level System Integration

7 7 and VR(M) System Design on CoWoS System 1: VRM on board, on substrate (FCBGA) VRM PCB System 2: VRM on board, on Si interposer PCB VRM System 3: VR and on Si interposer VR PCB

8 8 1. and VR(M) System Design on CoWoS PDN 2. PDN VRM VRM 3. PDN VR System 1: VRM on board, on substrate PDN path: VRM PCB Substrate PDN L/W: PCB/50/5 mm, Substrate/12/4 mm PDN metal layer: PCB/2, Substrate/10 System 2: VRM on board, on Si interposer PDN path: VRM PCB Substrate Si Interposer PDN L/W: PCB/50/5 mm, Substrate/12/4 mm, Si interposer/12/4 mm PDN metal layer: PCB/2, Substrate/8, Si Interposer /2 System 3: VR and on Si interposer PDN path: VR Si Interposer and Substrate PDN L/W: Substrate/12/4 mm, Si interposer/12/4 mm PDN metal layer: Substrate/8, Si Interposer /2 FOM: PDN impedance, voltage drop and voltage variation

9 PDN Z ( ) PDN Z ( ) 9 PDN Impedance Reduction from Si Interposer 1. PDN VRM 2. PDN VRM 3. PDN VR VRM on board, on Substrate 2. VRM on board, on Si interposer VRM on board, on Si interposer 3. VR and on Si interposer Frequency (GHz) Interposer mitigates anti-resonance at high frequencies Frequency (GHz) Short interconnect reduces PDN impedance: DC and AC Numbers of De-cap to be decreased

10 Normalized voltage supply Normalized voltage supply 10 Si Interposer Reduces Voltage Drop and Voltage Variation 1. VRM on board, on substrate 2. VRM on board, on Si interposer 3. VR and on Si interposer 1. VRM on board, on substrate 2. VRM on board, on Si interposer 3. VR and on Si interposer 1x 1.03x 0.23x Time DC voltage drop 1x 0.8x 0.93x Time Voltage variation 2GHz switching freq.) The voltage drop and voltage variation from VR to PDN Impedance The VR and on Si interposer system DC voltage drop: 23% of VRM on board, on substrate system Voltage variation: 80% of VRM on board, on substrate system

11 PDN Z ( ) 11 Capacitance of Si Interposer Suppresses PDN Z Anti-Resonances Si conductivity 0 S/m Si conductivity 1 S/m Si conductivity 10 S/m Frequency (GHz) High conductivity Si interposer suppresses the anti-resonances High Si conductivity High TSV Liner capacitance More suppression of PDN Z anti-resonance VR Cross section of TSV and equivalent circuits P G P Cap 259pF Cap 507pF Effect of Si conductivity G Si Cu Liner

12 12 and VR(M) System Design on InFO for Mobile Products PVR Logic System 1: FC and PMIC System 1: FC and PMIC PDN path: VRM PCB Substrate PDN routing: in millimeter scale System 2: InFO and PMIC PDN path: VRM PCB InFO PDN routing: in millimeter scale System 3: InFO with partitioned VR (PVR) PDN path: VR InFO System 2: InFO and PMIC PDN routing: in micrometer scale InFO and FC PKG System 3: InFO with PVR FOM: PDN impedance, voltage drop, voltage variation, power response

13 13 Power Integrity PDN Impedance Calculation P G P G P Ground Power VR PCB PI: A measure for power supply stability; related to impedance of power distribution network (PDN) PDN impedance is Z R PDN 1 j L ( // ZVR) j C where Z VR is the impedance of voltage regulator. Z PDN R L C VR Low R & L in PDN Low Z PDN Better PI performance

14 14 Low PDN Impedance in InFO Package 170 μm 430 μm 3 RDLs InFO 4L Substrate FC 4 GHz PDN impedance: InFO_PoP is 16% of the FC_PoP. InFO_PoP: Substrate & C4 Bump eliminated and thin RDL Low PDN impedance High power stability

15 15 The PDN Impedance for the InFO + PVRs system Frequency PDN impedance InFO with PVRs InFO & PMIC FC & PMIC Resistance and Inductance InFO with PVRs system PDN impedance: 9% of FC & PMIC system Resistance: 17% of FC & PMIC system Inductance: 9% of FC & PMIC system

16 16 The Voltage Drop and Variation for the InFO + PVRs System Time DC voltage drop Time Voltage variation (ΔV) The voltage drop and voltage variation from VR to AP PDN Impedance The InFO with PVRs system DC voltage drop: 17% of FC & PMIC system Voltage variation: 25% of FC & PMIC system

17 17 Power Response for InFO + PVRs System Power on/off Probe for supply voltage PMIC PDN PKG Transient time: Time period for power on from 0 to 1 stable state The InFO with PVRs system Transient time: 11% of FC & PMIC system Time Dynamic power response

18 18 Summary of the PI Results System specifications PDN PDN Voltage drop Voltage variation System 1: VRM on board, on substrate (FCBGA) 1x 1x 1x 1x System 2: VRM on board, on Si interposer 1.01x 0.45x 1.03x 0.93x System 3: VR and on Si interposer 0.14x 0.27x 0.23x 0.8x System specifications Resistance Inductance Voltage variation Transient time InFO with PVRs 0.17x 0.09x 0.25x 0.11x InFO & PMIC 0.91x 0.63x 0.67x 1x FC & PMIC 1x 1x 1x 1x

19 19 Summary and Outlooks Foundry WLSI technology, CoWoS and InFO, provides leading edge solutions for power management system integration. The technologies provide excellent PDN performance for low power consumption, low voltage drop and low voltage variation for system design. V dd scaling of leads to power system design challenges TSMC WLSI technology provides the design solution.

20 20 Thanks for your attention!

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller Corporate Vice President, WW RnD & Technology Strategy 1 In the Beginning ewlb 2 Fan Out Packaging Emerges Introduction of Fan Out (ewlb) Marketed

More information

New Wave SiP solution for Power

New Wave SiP solution for Power New Wave SiP solution for Power Vincent Lin Corporate R&D ASE Group APEC March 7 th, 2018 in San Antonio, Texas. 0 Outline Challenges Facing Human Society Energy, Environment and Traffic Autonomous Driving

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Silicon Interposers enable high performance capacitors

Silicon Interposers enable high performance capacitors Interposers between ICs and package substrates that contain thin film capacitors have been used previously in order to improve circuit performance. However, with the interconnect inductance due to wire

More information

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen Si-Interposer Collaboration in IC/PKG/SI Eric Chen 4/Jul/2014 Design Overview U-bump Logic IC Mem IC C4 bump Logic IC Silicon/Organic substrate Interposer Mem IC CAP Package substrate Solder Ball VRM BGA

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER World s First LPDDR3 Enabling for Mobile Application Processors System Contents Introduction Problem Statements at Early mobile platform Root-cause, Enablers

More information

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Presented by Chad Smutzer Mayo Clinic Special Purpose Processor Development

More information

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014 Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application Institute of Microelectronics 22 April 2014 Challenges for HD Fan-Out Electrical Design 15-20 mm 7 mm 6 mm SI/PI with multilayer

More information

Design of the Power Delivery System for Next Generation Gigahertz Packages

Design of the Power Delivery System for Next Generation Gigahertz Packages Design of the Power Delivery System for Next Generation Gigahertz Packages Madhavan Swaminathan Professor School of Electrical and Computer Engg. Packaging Research Center madhavan.swaminathan@ece.gatech.edu

More information

3D ICs: Recent Advances in the Industry

3D ICs: Recent Advances in the Industry 3D ICs: Recent Advances in the Industry Suresh Ramalingam Senior Director, Advanced Packaging Outline 3D IC Background 3D IC Technology Development Summary Acknowledgements Stacked Silicon Interconnect

More information

EOTPR Customer Case Studies. EUFANET Workshop: Findings OPEN?

EOTPR Customer Case Studies. EUFANET Workshop: Findings OPEN? EOTPR Customer Case Studies EUFANET Workshop: Findings OPEN? OUTLINE o EOTPR introduction basic scheme o EOTPR OPEN customer case studies o Open on BGA trace (evaluation) o Open on embedded BGA trace o

More information

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest The Inductance Loop Power Distribution in the Semiconductor Test Interface Jason Mroczkowski Multitest j.mroczkowski@multitest.com Silicon Valley Test Conference 2010 1 Agenda Introduction to Power Delivery

More information

Application of Generalized Scattering Matrix for Prediction of Power Supply Noise

Application of Generalized Scattering Matrix for Prediction of Power Supply Noise Application of Generalized Scattering Matrix for Prediction of Power Supply Noise System Level Interconnect Prediction 2010 June 13, 2010 K. Yamanaga (1),K. Masu (2), and T. Sato (3) (1) Murata Manufacturing

More information

2.5D Platform (Examples of products produced to date are shown here to demonstrate Amkor's production capabilities)

2.5D Platform (Examples of products produced to date are shown here to demonstrate Amkor's production capabilities) Wafer Finishing & Flip Chip Stacking interconnects have emerged to serve a wide range of 2.5D- & 3D- packaging applications and architectures that demand very high performance and functionality at the

More information

The Future of Packaging ~ Advanced System Integration

The Future of Packaging ~ Advanced System Integration The Future of Packaging ~ Advanced System Integration Enabling a Microelectronic World R. Huemoeller SVP, Adv. Product / Platform Develop June 2013 Product Segments End Market % Share Summary 2 New Product

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

PDN design and analysis methodology in SI&PI codesign

PDN design and analysis methodology in SI&PI codesign PDN design and analysis methodology in SI&PI codesign www.huawei.com Asian IBIS Summit, November 9, 2010, Shenzhen China Luo Zipeng (luozipeng@huawei.com) Liu Shuyao (liushuyao@huawei.com) HUAWEI TECHNOLOGIES

More information

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi High Speed Design Issues and Jitter Estimation Techniques Jai Narayan Tripathi (jainarayan.tripathi@st.com) Outline Part 1 High-speed Design Issues Signal Integrity Power Integrity Jitter Power Delivery

More information

Characterization of Alternate Power Distribution Methods for 3D Integration

Characterization of Alternate Power Distribution Methods for 3D Integration Characterization of Alternate Power Distribution Methods for 3D Integration David C. Zhang, Madhavan Swaminathan, David Keezer and Satyanarayana Telikepalli School of Electrical and Computer Engineering,

More information

Amkor s 2.5D Package and HDFO Advanced Heterogeneous Packaging Solutions

Amkor s 2.5D Package and HDFO Advanced Heterogeneous Packaging Solutions Amkor s 2.5D Package and HDFO Advanced Heterogeneous Packaging Solutions John Lee, Sr. Director, Amkor Technology, Inc. Mike Kelly, VP, Adv Package & Technology Integration, Amkor Technology, Inc. Abstract:

More information

EMC Introduction. Prof. Tzong-Lin Wu NTUEE

EMC Introduction. Prof. Tzong-Lin Wu NTUEE EMC Introduction Prof. Tzong-Lin Wu NTUEE What is EMC Electro-Magnetic Compatibility ( 電磁相容 ) EMC EMI (Interference) Conducted Emission Radiated Emission EMS (Susceptibility) Conducted Susceptibility Radiated

More information

2D to 3d architectures: back to the future

2D to 3d architectures: back to the future 2D to 3d architectures: back to the future Raja Swaminathan Package architect Intel Corporation 2018 IMAPS Device Packaging Keynote, 03/06/2018 acknowledgements Ravi Mahajan, Ram Viswanath, Bob Sankman,

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

Automotive PCB SI and PI analysis

Automotive PCB SI and PI analysis Automotive PCB SI and PI analysis SI PI Analysis Signal Integrity S-Parameter Timing analysis Eye diagram Power Integrity Loop / Partial inductance DC IR-Drop AC PDN Impedance Power Aware SI Signal Integrity

More information

PDN Application of Ferrite Beads

PDN Application of Ferrite Beads PDN Application of Ferrite Beads 11 TA3 Steve Weir CTO IPBLOX, LLC 1 Objectives Understand ferrite beads with a good model Understand PDN design w/ sensitive loads Understand how to determine when a ferrite

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications 3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications Darryl Kostka, CST of America Taigon Song and Sung Kyu Lim, Georgia Institute of Technology Outline Introduction TSV Array

More information

Disruptive Developments for Advanced Die Attach to Tackle the Challenges of Heterogeneous Integration

Disruptive Developments for Advanced Die Attach to Tackle the Challenges of Heterogeneous Integration Disruptive Developments for Advanced Die Attach to Tackle the Challenges of Heterogeneous Integration Hugo Pristauz & Andreas Mayr, Besi Austria presented by: Stefan Behler, Besi Switzerland ECTC 2018

More information

The Facts about the Input Impedance of Power and Ground Planes

The Facts about the Input Impedance of Power and Ground Planes The Facts about the Input Impedance of Power and Ground Planes The following diagram shows the power and ground plane structure of which the input impedance is computed. Figure 1. Configuration of the

More information

High Frequency GaN-Based Power Conversion Stages

High Frequency GaN-Based Power Conversion Stages PwSoC Cork 2008 High Frequency GaN-Based Power Conversion Stages Dr. Michael A. Briere ACOO Enterprises LLC 1 Anatomy of a power device driven revolution in power electronics Enabling Rapid Commercialization

More information

Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material

Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material April 28, 2010 Yu Xuequan, Yanhang, Zhang Gezi, Wang Haisan Huawei Technologies CO., LTD. Shanghai, China Tony_yu@huawei.com

More information

SiP packaging technology of intelligent sensor module. Tony li

SiP packaging technology of intelligent sensor module. Tony li SiP packaging technology of intelligent sensor module Tony li 2016.9 Contents What we can do with sensors Sensor market trend Challenges of sensor packaging SiP technology to overcome challenges Overview

More information

ECE 497 JS Lecture 16 Power Distribution

ECE 497 JS Lecture 16 Power Distribution ECE 497 JS Lecture 16 Power Distribution Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Overview Motivations & Objectives Power Supply Network

More information

/14/$ IEEE 470

/14/$ IEEE 470 Analysis of Power Distribution Network in Glass, Silicon Interposer and PCB Youngwoo Kim, Kiyeong Kim Jonghyun Cho, and Joungho Kim Department of Electrical Engineering, KAIST Daejeon, South Korea youngwoo@kaist.ac.kr

More information

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL POWER INTEGRITY ANALYSIS AND MANAGEMENT I CIRCUITS Raj Nair Donald Bennett PRENTICE HALL Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown

More information

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks Sanjay Pant, David Blaauw University of Michigan, Ann Arbor, MI Abstract The placement of on-die decoupling

More information

Understanding, measuring, and reducing output noise in DC/DC switching regulators

Understanding, measuring, and reducing output noise in DC/DC switching regulators Understanding, measuring, and reducing output noise in DC/DC switching regulators Practical tips for output noise reduction Katelyn Wiggenhorn, Applications Engineer, Buck Switching Regulators Robert Blattner,

More information

doi: info:doi/ /icpe

doi: info:doi/ /icpe doi: info:doi/0.09/cpe.205.76825 New Measurement Base De-embedded Load Model for Power Delivery Network Design Motochika Okano,2, Koji Watanabe 3, Masamichi Naitoh, and chiro Omura Kyushu nstitute of Technology,

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

The 3D Silicon Leader

The 3D Silicon Leader The 3D Silicon Leader 3D Silicon IPD for smaller and more reliable Implantable Medical Devices ATW on Advanced Packaging for Wireless Medical Devices Mohamed Mehdi Jatlaoui, Sébastien Leruez, Olivier Gaborieau,

More information

Efficiency (typ.) (Range) Load VDC VDC ma ma(typ.) ma(typ.) μf % MCWI05-12S

Efficiency (typ.) (Range)  Load VDC VDC ma ma(typ.) ma(typ.) μf % MCWI05-12S FEATURES Smallest Encapsulated 5W Ultracompact SIP8 Package Ultrawide 4 : 1 Input Voltage Range Fully Regulated Output Voltage I/O Isolation 1500 VDC Operating Ambient Temp. Range40 to 75 No Min. Requirement

More information

3D Si Interposer Design and Electrical Performance Study

3D Si Interposer Design and Electrical Performance Study DesignCon 2013 3D Si Interposer Design and Electrical Performance Study Mandy (Ying) Ji, Rambus Inc. Ming Li, Rambus Inc. Julia Cline, Rambus Inc. Dave Secker, Rambus Inc. Kevin Cai, Rambus Inc. John Lau,

More information

Intro. to PDN Planning PCB Stackup Technology Series

Intro. to PDN Planning PCB Stackup Technology Series Introduction to Power Distribution Network (PDN) Planning Bill Hargin In-Circuit Design b.hargin@icd.com.au 425-301-4425 Intro. to PDN Planning 1. Intro/Overview 2. Bypass/Decoupling Strategy 3. Plane

More information

Ultra Low Inductance Package for SiC & GaN

Ultra Low Inductance Package for SiC & GaN Ultra Low Inductance Package for SiC & GaN Dr.-Ing. Eckart Hoene Powered by Overview The Motivation The Modules The Semiconductors The Measurement Equipment The Simulation The Results The Conclusion Motivation

More information

AMultistory Multi-story Power Delivery Technique for 3D Integrated Circuits

AMultistory Multi-story Power Delivery Technique for 3D Integrated Circuits AMultistory Multi-story Power Delivery Technique for 3D ntegrated Circuits Pulkit Jain, Tae-Hyoung Kim, John Keane, and Chris H. Kim University of Minnesota Department of Electrical and Computer Engineering

More information

Deep Trench Capacitors for Switched Capacitor Voltage Converters

Deep Trench Capacitors for Switched Capacitor Voltage Converters Deep Trench Capacitors for Switched Capacitor Voltage Converters Jae-sun Seo, Albert Young, Robert Montoye, Leland Chang IBM T. J. Watson Research Center 3 rd International Workshop for Power Supply on

More information

Analysis signal transitions characteristics of BGA-via multi-chip module Baolin Zhou1,a, Dejian Zhou1,b

Analysis signal transitions characteristics of BGA-via multi-chip module Baolin Zhou1,a, Dejian Zhou1,b 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Analysis signal transitions characteristics of BGA-via multi-chip module Baolin Zhou1,a, Dejian Zhou1,b 1 Electromechanical

More information

Adaptive Patterning. ISS 2019 January 8th

Adaptive Patterning. ISS 2019 January 8th Creating a system to balance natural variation ISS 2019 January 8th Tim Olson Founder & CTO Let s start with an industry perspective Historically, three distinct electronic industry silos Foundries SATS

More information

Aspemyr, Lars; Jacobsson, Harald; Bao, Mingquan; Sjöland, Henrik; Ferndal, Mattias; Carchon, G

Aspemyr, Lars; Jacobsson, Harald; Bao, Mingquan; Sjöland, Henrik; Ferndal, Mattias; Carchon, G A 15 GHz and a 2 GHz low noise amplifier in 9 nm RF CMOS Aspemyr, Lars; Jacobsson, Harald; Bao, Mingquan; Sjöland, Henrik; Ferndal, Mattias; Carchon, G Published in: Topical Meeting on Silicon Monolithic

More information

DC-DC Power Conversion with CMOS Integrated Thin-Film Inductors. Noah Sturcken, PhD - Ferric, Inc. CEO

DC-DC Power Conversion with CMOS Integrated Thin-Film Inductors. Noah Sturcken, PhD - Ferric, Inc. CEO rric DC-DC Power Conversion with CMOS Integrated Thin-Film Inductors Noah Sturcken, PhD - rric, Inc. CEO FERRIC THE COMPANY Fabless semiconductor technology company, founded in 2011 Located in New York

More information

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Authors: Rick Brooks, Cisco, ricbrook@cisco.com Jane Lim, Cisco, honglim@cisco.com Udupi Harisharan, Cisco,

More information

Decoupling capacitor placement

Decoupling capacitor placement Decoupling capacitor placement Covered in this topic: Introduction Which locations need decoupling caps? IC decoupling Capacitor lumped model How to maximize the effectiveness of a decoupling cap Parallel

More information

Flip-Chip for MM-Wave and Broadband Packaging

Flip-Chip for MM-Wave and Broadband Packaging 1 Flip-Chip for MM-Wave and Broadband Packaging Wolfgang Heinrich Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH) Berlin / Germany with contributions by F. J. Schmückle Motivation Growing markets

More information

Effect of package parasitics and crosstalk on signal delay

Effect of package parasitics and crosstalk on signal delay Effect of package parasitics and crosstalk on signal delay Francesc Moll and Miquel Roca moll@eel.upc.es miquel.roca@uib.es Electronic Eng. Dpt. Univ. Polit. Catalunya UPC Physics Department Univ. Illes

More information

Glass: Enabling Next-Generation, Higher Performance Solutions. Peter L. Bocko, Ph.D CTO Glass Technologies 5 September 2012

Glass: Enabling Next-Generation, Higher Performance Solutions. Peter L. Bocko, Ph.D CTO Glass Technologies 5 September 2012 Glass: Enabling Next-Generation, Higher Performance Solutions Peter L. Bocko, Ph.D CTO Glass Technologies 5 September 2012 Forward Looking And Cautionary Statements Certain statements in this presentation

More information

Automatic Package and Board Decoupling Capacitor Placement Using Genetic Algorithms and M-FDM

Automatic Package and Board Decoupling Capacitor Placement Using Genetic Algorithms and M-FDM June th 2008 Automatic Package and Board Decoupling Capacitor Placement Using Genetic Algorithms and M-FDM Krishna Bharath, Ege Engin and Madhavan Swaminathan School of Electrical and Computer Engineering

More information

ISSCC 2006 / SESSION 10 / mm-wave AND BEYOND / 10.1

ISSCC 2006 / SESSION 10 / mm-wave AND BEYOND / 10.1 10.1 A 77GHz 4-Element Phased Array Receiver with On-Chip Dipole Antennas in Silicon A. Babakhani, X. Guan, A. Komijani, A. Natarajan, A. Hajimiri California Institute of Technology, Pasadena, CA Achieving

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL OUTLINE Introduction Platform Overview Device Library Overview What s Next? Conclusion OUTLINE Introduction Platform Overview

More information

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc.

450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum July 10, 2013 Doug Shelton Canon USA Inc. 450mm patterning out of darkness Backend Process Exposure Tool SOKUDO Lithography Breakfast Forum 2013 July 10, 2013 Doug Shelton Canon USA Inc. Introduction Half Pitch [nm] 2013 2014 2015 2016 2017 2018

More information

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2 Design Space Exploration for Robust Power Delivery in TSV Based 3-D Systems-on-Chip Suhas M. Satheesh High-Speed Fabrics Team NVIDIA Santa Clara, California 955 ssatheesh@nvidia.com Emre Salman Department

More information

Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer

Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer Youngwoo Kim 1, Jonghyun Cho 1, Kiyeong Kim 1, Venky Sundaram 2, Rao Tummala 2 and Joungho

More information

A New Silicon Age 4.0: Generating Semiconductor- Intelligence Paradigm with a Virtual Moore s Law Economics and Heterogeneous Technologies

A New Silicon Age 4.0: Generating Semiconductor- Intelligence Paradigm with a Virtual Moore s Law Economics and Heterogeneous Technologies International Symposium on Low Power Electroinics and Design (ISLPED), 2017 A New Silicon Age 4.0: Generating Semiconductor- Intelligence Paradigm with a Virtual Moore s Law Economics and Heterogeneous

More information

Through-Silicon-Via Inductor: Is it Real or Just A Fantasy?

Through-Silicon-Via Inductor: Is it Real or Just A Fantasy? Through-Silicon-Via Inductor: Is it Real or Just A Fantasy? Umamaheswara Rao Tida 1 Cheng Zhuo 2 Yiyu Shi 1 1 ECE Department, Missouri University of Science and Technology 2 Intel Research, Hillsboro Outline

More information

Data Sheet. VMMK GHz Positive Gain Slope Low Noise Amplifier in SMT Package. Features. Description

Data Sheet. VMMK GHz Positive Gain Slope Low Noise Amplifier in SMT Package. Features. Description VMMK-3603 1-6 GHz Positive Gain Slope Low Noise Amplifier in SMT Package Data Sheet Description The VMMK-3603 is a small and easy-to-use, broadband, positive gain slope low noise amplifier operating in

More information

EMI. Chris Herrick. Applications Engineer

EMI. Chris Herrick. Applications Engineer Fundamentals of EMI Chris Herrick Ansoft Applications Engineer Three Basic Elements of EMC Conduction Coupling process EMI source Emission Space & Field Conductive Capacitive Inductive Radiative Low, Middle

More information

Advanced Topics in EMC Design. Issue 1: The ground plane to split or not to split?

Advanced Topics in EMC Design. Issue 1: The ground plane to split or not to split? NEEDS 2006 workshop Advanced Topics in EMC Design Tim Williams Elmac Services C o n s u l t a n c y a n d t r a i n i n g i n e l e c t r o m a g n e t i c c o m p a t i b i l i t y e-mail timw@elmac.co.uk

More information

Course Introduction. Content 16 pages. Learning Time 30 minutes

Course Introduction. Content 16 pages. Learning Time 30 minutes Course Introduction Purpose This course discusses techniques for analyzing and eliminating noise in microcontroller (MCU) and microprocessor (MPU) based embedded systems. Objectives Learn what EMI is and

More information

Microelectronic sensors for impedance measurements and analysis

Microelectronic sensors for impedance measurements and analysis Microelectronic sensors for impedance measurements and analysis Ph.D in Electronics, Computer Science and Telecommunications Ph.D Student: Roberto Cardu Ph.D Tutor: Prof. Roberto Guerrieri Summary 3D integration

More information

1.5MHz, 2A Synchronous Step-Down Regulator

1.5MHz, 2A Synchronous Step-Down Regulator 1.5MHz, 2A Synchronous Step-Down Regulator General Description The is a high efficiency current mode synchronous buck PWM DC-DC regulator. The internal generated 0.6V precision feedback reference voltage

More information

Measurement Results for a High Throughput MCM

Measurement Results for a High Throughput MCM Measurement Results for a High Throughput MCM Funding: Paul Franzon Toby Schaffer, Alan Glaser, Steve Lipa North Carolina State University paulf@ncsu.edu www.ece.ncsu.edu/erl Outline > Heterogeneous System

More information

A GSM Band Low-Power LNA 1. LNA Schematic

A GSM Band Low-Power LNA 1. LNA Schematic A GSM Band Low-Power LNA 1. LNA Schematic Fig1.1 Schematic of the Designed LNA 2. Design Summary Specification Required Simulation Results Peak S21 (Gain) > 10dB >11 db 3dB Bandwidth > 200MHz (

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

MicroSiP TM DC/DC Converters Fully Integrated Power Solutions

MicroSiP TM DC/DC Converters Fully Integrated Power Solutions MicroSiP TM DC/DC Converters Fully Integrated Power Solutions PicoStar TM Christophe Vaucourt Thies Puchert, Udo Ottl, Frank Stepniak, Florian Feckl 1 Outline Illustrate TI s recent developments in the

More information

Application Note No. 075

Application Note No. 075 Application Note, Rev. 2.0, Jan. 2007 Application Note No. 075 High Third-Order Input Intercept Point CDMA 800 Low Noise Amplifier RF & Protection Devices Edition 2007-01-08 Published by Infineon Technologies

More information

EMI Reduction on an Automotive Microcontroller

EMI Reduction on an Automotive Microcontroller EMI Reduction on an Automotive Microcontroller Design Automation Conference, July 26 th -31 st, 2009 Patrice JOUBERT DORIOL 1, Yamarita VILLAVICENCIO 2, Cristiano FORZAN 1, Mario ROTIGNI 1, Giovanni GRAZIOSI

More information

1 MHz to 2.7 GHz RF Gain Block AD8354

1 MHz to 2.7 GHz RF Gain Block AD8354 Data Sheet FEATURES Fixed gain of 2 db Operational frequency of 1 MHz to 2.7 GHz Linear output power up to 4 dbm Input/output internally matched to Ω Temperature and power supply stable Noise figure: 4.2

More information

A Novel Silicon-Embedded Transformer for System-in-Package Power Isolation*

A Novel Silicon-Embedded Transformer for System-in-Package Power Isolation* 2016 International Workshop on Power Supply On Chip (PwrSoC 2016) A Novel Silicon-Embedded Transformer for System-in-Package Power Isolation* Rongxiang Wu 1, Niteng Liao 1, Xiangming Fang 2, Johnny K.O.

More information

XBSC / UBSC / BBSC / ULSC /60+/40/20 GHz Ultra Broadband Surface Mounted Silicon Capacitors

XBSC / UBSC / BBSC / ULSC /60+/40/20 GHz Ultra Broadband Surface Mounted Silicon Capacitors XBSC / UBSC / BBSC / ULSC - 100+/60+/40/20 GHz Ultra Broadband Surface Mounted Silicon Capacitors Rev 1.1 Key features Ultra broadband performance up to 110 GHz Resonance free allowing ultra low group

More information

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer 2016 IEEE 66th Electronic Components and Technology Conference Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer Youngwoo Kim, Jinwook Song, Subin Kim

More information

Master Thesis. Mobile Phone Antenna Modelling. Umut Bulus. Supervised by Prof. Dr.-Ing. K. Solbach

Master Thesis. Mobile Phone Antenna Modelling. Umut Bulus. Supervised by Prof. Dr.-Ing. K. Solbach Master Thesis Mobile Phone Antenna Modelling Umut Bulus Supervised by Prof. Dr.-Ing. K. Solbach 2.3.28 Contents Introduction Theoretical Background Antenna Measurements on Different PCB Variations Investigation

More information

Design and Modeling of Through-Silicon Vias for 3D Integration

Design and Modeling of Through-Silicon Vias for 3D Integration Design and Modeling of Through-Silicon Vias for 3D Integration Ivan Ndip, Brian Curran, Gerhard Fotheringham, Jurgen Wolf, Stephan Guttowski, Herbert Reichl Fraunhofer IZM & BeCAP @ TU Berlin IEEE Workshop

More information

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design DesignCon 2009 Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design Hsing-Chou Hsu, VIA Technologies jimmyhsu@via.com.tw Jack Lin, Sigrity Inc.

More information

AA SERIES (1 x 1 Package) Up to 10 Watt DC-DC Converter

AA SERIES (1 x 1 Package) Up to 10 Watt DC-DC Converter FEATURES Industry standard footprint (1 inch X 1 inch) Regulated Outputs, Fixed Switching Frequency Up to 87 % Efficiency Low No Load Power Consumption Designed for use without tantalum capacitors -40

More information

POSTECH Activities on CMOS based Linear Power Amplifiers

POSTECH Activities on CMOS based Linear Power Amplifiers 1 POSTECH Activities on CMOS based Linear Power Amplifiers Jan. 16. 2006 Bumman Kim, & Jongchan Kang MMIC Laboratory Department of EE, POSTECH Presentation Outline 2 Motivation Basic Design Approach CMOS

More information

Probing Techniques for Signal Performance Measurements in High Data Rate Testing

Probing Techniques for Signal Performance Measurements in High Data Rate Testing Probing Techniques for Signal Performance Measurements in High Data Rate Testing K. Helmreich, A. Lechner Advantest Test Engineering Solutions GmbH Contents: 1 Introduction: High Data Rate Testing 2 Signal

More information

Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems

Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems Prathap Muthana, Madhavan Swaminathan, Rao Tummala, P.Markondeya Raj, Ege Engin,Lixi

More information

Efficiency (typ.) (Range) Load. Output Current Input Current Reflected Ripple

Efficiency (typ.) (Range)  Load. Output Current Input Current Reflected Ripple FEATURES Highest Power Density 1" x 1" x 0.4" Shielded Metal Package Ultra Wide 4:1 Input Range Excellent Efficiency up to % Operating Temp. Range - C to + C Optional Heatsink I/O-isolation Voltage 10VDC

More information

OVP 2:1. Wide Range. Protection

OVP 2:1. Wide Range. Protection 10W, Wide Input Range DIP, Single & Dual Output DC/DC s Key Features High Efficiency up to 88 10 Isolation MTBF > 1,000,000 Hours 2:1 Wide Input Range CSA9-1 Safety Approval Complies with EN522 Class A

More information

What is New about Thin Laminates in 2013?

What is New about Thin Laminates in 2013? PCBDesign 007 QuietPower column What is New about Thin Laminates in 2013? Istvan Novak, Oracle, February 2013 It is almost two years ago that the QuietPower column Thin Laminates: Buried Capacitance or

More information

T est POST OFFICE BOX 1927 CUPERTINO, CA TEL E P H ONE (408) FAX (408) ARIES ELECTRONICS

T est POST OFFICE BOX 1927 CUPERTINO, CA TEL E P H ONE (408) FAX (408) ARIES ELECTRONICS G iga T est L abs POST OFFICE BOX 1927 CUPERTINO, CA 95015 TEL E P H ONE (408) 524-2700 FAX (408) 524-2777 ARIES ELECTRONICS BGA SOCKET (0.80MM TEST CENTER PROBE CONTACT) Final Report Electrical Characterization

More information

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division

Semiconductor and LED Markets. Jon Sabol Vice President and General Manager Semiconductor and LED Division Semiconductor and LED Markets Jon Sabol Vice President and General Manager Semiconductor and LED Division Semiconductor & LED Investing in Semiconductor and LED $ Millions 300 200 27% CAGR 100 0 * FY06

More information

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative POSSUM TM Die Design as a Low Cost 3D Packaging Alternative The trend toward 3D system integration in a small form factor has accelerated even more with the introduction of smartphones and tablets. Integration

More information

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th BCD Smart Power Roadmap Trends and Challenges Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th Outline 2 Introduction Major Trends in Smart Power ASICs An insight on (some) differentiating

More information

A Compact GHz Ultra-Wideband Low-Noise Amplifier in 0.13-m CMOS Po-Yu Chang and Shawn S. H. Hsu, Member, IEEE

A Compact GHz Ultra-Wideband Low-Noise Amplifier in 0.13-m CMOS Po-Yu Chang and Shawn S. H. Hsu, Member, IEEE IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 58, NO. 10, OCTOBER 2010 2575 A Compact 0.1 14-GHz Ultra-Wideband Low-Noise Amplifier in 0.13-m CMOS Po-Yu Chang and Shawn S. H. Hsu, Member,

More information

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group Title: Package Model Proposal Source: Nanju Na (nananju@us.ibm.com) Jean Audet (jaudet@ca.ibm.com), David R Stauffer (dstauffe@us.ibm.com) Date: Dec 27 IBM Systems and Technology Group Abstract: New package

More information

MIW3000 Series EMI. 5-6W, Wide Input Range DIP, Single & Dual Output DC/DC Converters MINMAX. Block Diagram. Key Features

MIW3000 Series EMI. 5-6W, Wide Input Range DIP, Single & Dual Output DC/DC Converters MINMAX. Block Diagram. Key Features -6W, Wide Input Range DIP, Single & DC/DC s Key Features Efficiency up to 10 Isolation MTBF > 1,000,000 Hours 2:1 Wide Input Range UL19 Safety Approval Complies with EN22 Class A Temperature Performance

More information

FP A Current Mode Non-Synchronous PWM Boost Converter

FP A Current Mode Non-Synchronous PWM Boost Converter 10A Current Mode Non-Synchronous PWM Boost Converter General Description The is a current mode boost DC-DC converter. It is PWM circuitry with built-in 15mΩ power MOSFET make this regulator highly power

More information