Intro. to PDN Planning PCB Stackup Technology Series

Size: px
Start display at page:

Download "Intro. to PDN Planning PCB Stackup Technology Series"

Transcription

1 Introduction to Power Distribution Network (PDN) Planning Bill Hargin In-Circuit Design Intro. to PDN Planning 1. Intro/Overview 2. Bypass/Decoupling Strategy 3. Plane Capacitance 4. Conclusion/Demo/Wrap-up 2-1

2 About the Presenter High Speed PCB Design Author/co-author of a dozen articles on high-speed design Hundreds of webinars, seminars, and workshops including a Fundamentals of High-Speed Design course that has been taken by over 10,000 engineers in more than 30 different countries HyperLynx Product Marketing Director PADS Software Director of International Business Development Mentor Graphics High-Speed Marketing Manager Director of Business Development, System-Level Engineering 3 Review: Steps in High-Speed PCB Stackup Planning 1. Determine how many signal layers are needed 2. Determine how many power planes are needed Distribute Power and Ground 3. Arrange signals and planes accordingly Partner planes for signal layers Parallel plate capacitance between Power and Ground Select dielectric materials 4. Set signal height above planes for crosstalk requirements 5. Set trace widths to meet impedance goals Differential signals, in particular 6. Set plane spacing to meet capacitance requirements 7. Set spacing between signal layers to meet overall thickness 4-2

3 PDN Design: Why should I care? Today s high-performance processors with sub-ns switching speeds use low DC voltages, drawing high transient currents at high clock frequencies Low output-buffer impedances, and simultaneous bus switching (SSO) cause high transient currents in power and ground planes, degrading product performance and reliability This can result in intermittent signal integrity issues, and many EMC failures are caused by excessive noise (SSN) on the PDN coupling into external cables 5 Power Integrity Power Integrity analysis can be broken into three broad categories #1: Pure power distribution analysis I.e., PDN or Power Distribution Network planning One of the most significant hardware-engineering challenges today #2: Mixed SI/PI simulation #3: Extraction of models for external simulators A legitimate need since there s no such thing as a panacean, one-tool-solves-all-problems solution High-Speed Update - User2User, March 2007, Mentor Graphics 6-3

4 Objective of the PDN Bypass networks support current surges that are beyond the short-term supply capability of the VRM Distribute power (and ground) with an acceptable level of rail noise Typically less than 5%, for core logic switching, I/O switching and internal signal layer transition switching A typical DDR3 design may contain 5-6 different power supplies This translates to keeping the impedance of the PDN, as seen by the chip die, below a target impedance value across the typical operating frequency of the product Designing a power delivery network with Z PDN under Z target over a wide frequency band may not be possible in all designs The process can also be expensive, requiring tradeoffs to reach a balance between cost and performance 7 Simplified PDN View and Ripple Voltage A PDN has an impedance (Z PDN ) associated with the path from the VRM to each high-speed IC The magnitude of noise ( voltage ripple or rail noise ) on a power rail is proportional to the PDN impedance (Z PDN ) and the transient current (I transient ) draw from the rail V ripple = I transient x Z PDN Z PDN Die Load V ripple can be reduced by reducing Z PDN I transient is application-specific and determined by the signal switching pattern V VRM I transient 8-4

5 PDN Target Impedance: Z target The PCB part of Z PDN is within your control To make sure that Vripple (noise) is within spec, Z PDN should be designed so that it s below a specific target impedance, Z target Z target can be defined as: Z PDN Die Load V VRM I transient Where MaxTransientCurrent is the transient current estimated as a percentage of the total current draw 9 PDN Components, Cont d. Capacitance required to support simultaneous switching can be located in five places in a design On the IC die itself On the IC package The parallel planes of the PCB Discrete capacitors added to the power rails The output of the power supply module Source Right the First Time, Vol. 2, Lee Ritche,

6 PDN Components Each component added to the PCB lowers the impedance of the PDN at a particular frequency The PCB PDN network contains the following: VRM, or Voltage regulator module Decoupling capacitors Parasitics from power/ground plane spreading, and BGA vias Plane capacitance An illustration of the resonant frequencies of various PDN components Source PDN Planning, Barry Olney, ICD, PCB Magazine, May 2012 The Topology of a PDN VRM Model Bulk Bypass Caps Decoupling Caps Planar R, L, C Spreading Inductance Die BGA Via As with all aspects of stackup planning, the PDN design should be optimized before a single IC is placed on the board, and before a single trace is routed Source PDN Planning, Barry Olney, ICD, PCB Magazine, May

7 3 Most Important things in a PDN Z PDN Z L C F Z L C 1. Inductance 2. Inductance 3. Inductance And enough capacitance to lower the impedance at low frequencies Source: Steve Weir, IPBlox, cited in No Faith, by Eric Bogatin, PCD&M, August st Major PDN Component: Voltage Regulator Module (VRM) The first major component of the PDN is the VRM Typically a switching regulator that adjusts the current being supplied (via feedback) to keep voltage constant from DC to a few 100 khz For all transient events that occur at frequencies above this range, there is a time lag before the VRM can respond to the new demand Details vary by regulator DC to a few 100 khz Source PDN Planning, Barry Olney, ICD, PCB Magazine, May

8 Characterizing your VRM You need to start with the right Voltage Regulator Module (VRM), establishing a low impedance from DC to about 10 khz The right VRM depends on the target impedance, calculated from the supply voltage, max. current, transient current and max. allowed voltage ripple for each power plane Above this frequency the bypass capacitor network takes over A good PDN planning tool can help here DC to a few 100 khz 15 Source No Faith, Dr. Eric Bogatin, PCD&M, August nd Major PDN Component: Capacitors Tantalum bulk bypass capacitors and ceramic decoupling capacitors Supply instantaneous current (at different frequencies) to driver ICs until the VRM can respond Mitigate delays in the (on-board or remote) power supply circuit due to trace and driver-lead inductance Source PDN Planning, Barry Olney, ICD, PCB Magazine, May

9 2 nd Major PDN Component: Capacitors, Cont d. Bulk bypass capacitance typically provided by tantalum capacitors provides low impedance up to 10 MHz High-frequency decoupling is provided by ceramic capacitors up to several 100 MHz Every capacitor has an Equivalent Series Inductance (ESL), causing its impedance to increase at high frequencies Z L C 17 3 rd Major PDN Component: Plane Capacitance Above 200 MHz, high quality, low inductance capacitance is necessary to support the very fast switching transients associated with driving singleended transmission lines and rapidly changing IC core-supply currents This is provided by the capacitance formed by adjacent power and ground layers Source PDN Planning, Barry Olney, ICD, PCB Magazine, May

10 Reducing Z die VRM Model Bulk Bypass Caps Decoupling Caps Planar R, L, C Spreading Inductance Die BGA Via Chip-package design tradeoffs to reduce Z die Increase on-die capacitance Increase on-package capacitance Decrease package lead inductance Source PDN Planning, Barry Olney, ICD, PCB Magazine, May Intro. to PDN Planning 1. Intro/Overview 2. Bypass/Decoupling Strategy 3. Plane Capacitance 4. Conclusion/Demo/Wrap-up 20-10

11 Decoupling Capacitor Overview A decoupling capacitor (decap) can be modeled as a series combination of R, L, and C R is the equivalent series resistance (ESR) L is the equivalent series inductance (ESL) C is the capacitor s capacitance Frequency response The equivalent circuit is an RLC series resonant circuit The self-resonant frequency (SRF) is determined by the circuit s L and C, which come from the materials and construction of the capacitor 159 ~ 1 GHz, where L=5 nh and C=.005 nf Note: Different equation from the recorded presentation I prefer this one! 21 High-Level, High-Speed Capacitor Strategy Capacitors reach their minimum impedance at their resonant frequency, which is determined by their capacitance and ESL To meet the target impedance at a particular frequency, a capacitance value is chosen that will resonate at the desired frequency, and have an impedance that is equal to its ESR (Equivalent Series Resistance) Then, a sufficient number of them are placed in parallel so that the parallel ESRs approach the desired target impedance 22-11

12 The Peanut Butter approach Some designers assume that by placing many different values of capacitors on the board, the entire frequency range is covered, and will have minimal impedance from DC to the max operating frequency Unfortunately, while it tastes pretty good, it s not that simple (and pretty high in calories) 23 Resonance and Anti-Resonance Resonant frequency(ies) 100nF and 1nF caps resonate at 16MHz and 170MHz Anti-resonance Where the 1nf and 100nF Z-plots intersect, they form a classic LC tank circuit (parallel resonance), raising the impedance This appears each time a different capacitor value is added to the network 100nF Caps are inductive 1nF Caps are capacitive here 24-12

13 3 Approaches to Decap Selection (Sept. 5 Webinar) Big V Typically, the largest value of capacitance for a given package size is used Multi-Pole Approach One capacitance value per decade (of capacitance) Many Pole Approach Three different capacitance values per decade Comparing the strategies These approaches provide a different impedance profile, and interact differently with the VRM at the low end, and board resonances on the high end The key is to focus on the phase at the system level 25 Source No Faith, Dr. Eric Bogatin, PCD&M, August 2006 If you like Simple Big V Simplest way to achieve low impedance over a given frequency range, and the most robust, relative to manufacturing variations and errors Typically, the largest value of capacitance for a given package size is used Smaller footprints minimize the inherent ESL of the capacitor For example, a 0.1nf 0201 has a lower ESL than a 0.1nf cap with a larger footprint for the same dielectric material and capacitor construction (E.g., 0402, 0603, 0805, etc.) 26 Source No Faith, Dr. Eric Bogatin, PCD&M, August

14 Decoupling Capacitor Position Capacitor ESL The mounted inductance or ESL of a cap has three components that describe the loop in which current must flow: [1] capacitor footprint; [2] distance from the plane; and [3] power plane spreading inductance The footprint (land pattern) for a capacitor consisting of via placement with respect to the pad, the length and width of connected Lower Inductance (Better) traces dominates the ESL 0402 capacitors with different fanout patterns End Vias Side Vias Double Side Vias Via in Pad 27 Inductance Budget and Decoupling Capacitor Position If the PCB consumes too much of the inductance budget, capacitor requirements grow exponentially Often a significant factor in high-performance systems Capacitor position The impact of decoupling cap position depends on the capacitors attached inductance compared to the spreading inductance in the planes If the PCB spreading inductance is a small % of your inductance budget, capacitor position doesn t matter Example: with caps on the same side of the PCB as the BGA and common power chevrons, and positions up to 0.5" from the BGA perimeter, the PCB inductance changes little and cap position is not critical 28 Source No Faith, Dr. Eric Bogatin, PCD&M, August

15 Intro. to PDN Planning 1. Intro/Overview 2. Bypass/Decoupling Strategy 3. Plane Capacitance 4. Conclusion/Demo/Wrap-up 29 Interplane Capacitance Power to ground plane capacitance provides a near-ideal capacitor with very low series inductance and equivalent series resistance (ESR), reducing noise at extremely high frequencies Interplane capacitance needs to be calculated to establish the optimal use of the planes to create the ideal stackup C (pf) E r = Dielectric Constant C interplane = A = Area of the parallel planes (in 2 ) d = distance between planes (mils) 225 x Er x A d 30 Source The Perfect Stackup, Barry Olney, PCB Magazine, Nov

16 Interplane Capacitance The more capacitance, the better Good interplane capacitance can be achieved by using 3 or 4 mil plane spacing mils produces 225 pf/in 2 with the same E r 3-mil spacing results in 300 pf/in 2 with an E r of mil spacing would be even better, but you start playing with manufacturing tolerances and DBV 10-mil spacing will only produce 90 pf/in 2 And 20-mil spacing results in a dismal 45 pf/in 2 d (mils) C (pf/in^2) Source The Perfect Stackup, Barry Olney, PCB Magazine, Nov Review: Alternate ways to Stack Layers (10 Layer Example) Option 1: 6 Signal Layers, and only 1 Plane Pair Good for signal routing Fair for power (PDN) 90 deg. Stripline routing Option 2: 4 Signal Layers, and 2 Plane Pairs Fair for signal routing Good for power (PDN) 90 deg. Stripline routing = Prepreg = Laminate Option 3: 5 Signal Layers, and 1 Plane Pair Good for signal routing Fair for power (PDN) Good for crosstalk Most common Less common Backplanes Long bars are plane layers and short bars are signal layers

17 Planes aren t Perfect (just Forgiven) Ideally, a capacitively-coupled plane pair would provide a perfect capacitor with very low impedance between power and ground at very high frequencies (several 100 MHz and higher) But, they also insert inductance into the PDN, isolating ICs from Decaps Planes also act like wide, unterminated traces, reflecting energy from plane edges If this was for a 400 MHz DDR2 clock, we re not so concerned about the high impedance out above 1 GHz here 208 MHz=First resonance of the plane What? 33 Intro. to PDN Planning 1. Intro/Overview 2. Bypass/Decoupling Strategy 3. Plane Capacitance 4. Conclusion/Demo/Wrap-up 34-17

18 Points to Remember The PDN impedance for today s high-speed products should be kept low and flat over a broad frequency range for proper product performance Levers include bulk bypass caps (up to 10 MHz) and decaps (up to 100s of MHz), parallel-plane capacitance (above 200 MHz) and on-die capacitance (to even higher frequencies) Lower inductance by placing capacitors close to power pins, placing the capacitors vias close to each other, and Z minimizing the length to the planes PDN Use interplane capacitance for 200 MHz and beyond, and pay attention to board-resonance effects See how it plays together w/ a PDN tool 35 F In-Circuit Design Software Download from: icd.com.au Stackup Planner The easiest tool available for planning Z0/Zdiff for 8+ layer boards Field solver for fast, accurate impedance calculations Multiple differential-signals on multiple layers Editable board-materials library DRCs ensure stackup validity PCB Interfaces in work PDN Planner PDN Planner analyzes the Voltage Regulator Module, PCB Substrate, and bulk bypass/decoupling capacitors to solve parameters for the desired effective impedance of the Power Distribution Network, across the product s entire frequency range Add an unlimited number of bulk bypass/decoupling capacitors Comprehensive, 300 Capacitor Library 36-18

19 PCB Stackup Planning in the Design Flow HARDWARE ENGINEER PCB DESIGNER FABRICATOR TEST Supported data formats include.stk,.csv, Clipboard, with PCB xlators on the way ICD Stackup Planner 37 In-Circuit Design PCB Design Services ICD s standard design process: 1. Stackup and PDN optimization 2. IC model acquisition and assessment 3. Pre-layout simulation eliminating signal integrity issues early, proactively constrain routing, optimizing clocks, and critical signal topologies and terminations prior to layout 4. Component placement according to pre-layout simulation 5. Trace routing according to simulation constraints, taking particular care of critical signals, differential pairs and flight times 6. Whole-board simulation, flagging Signal Integrity, Crosstalk and EMC hot spots 7. Validating termination values and strategies, reducing the negative impact of signal reflections 8. Interactive simulation of critical nets, looking at Signal Integrity, Crosstalk and EMC in detail 38-19

20 In-Circuit Design Plan B: Find and Fix Plan B Simulation Services: 1. Whole-board simulation of your PCB design analyzing Signal Integrity, Crosstalk, and EMC issues to FCC, CISPR & VCCI Class A & B standards 2. If we do find Signal Integrity, Crosstalk or EMC concerns, we can drill deeper, recommending appropriate solutions 3. Once the board is rerouted, as necessary, ICD will re-simulate the board, to verify that the changes produced the desired results Simulate twice - build once! 39 ICD Stackup Technology Series Schedule July 11 The Perfect Stackup: More than Impedance July 18 PCB Material Selection July 25 Impedance, Transmission Lines, and Termination August 1 Intro. to Power Distribution Network (PDN) Planning August 15 Material Selection for Multi-Gigabit SERDES Design August 22 Signal-Layer Selection: Microstrip, Buried Microstrip, Single and Dual Striplines August 29 Selecting a PCB Fabricator September 5 Decoupling/Bypass Capacitor Selection 40-20

21 References High Speed Digital System Design, by Hall, Hall, and McCall, 2000 No Faith, PCD&M, by Dr. Eric Bogatin, August 2006 PDN Network Design, PCB Magazine, by Barry Olney, In-Circuit Design, May 2012 Right The First Time, A Practical Handbook on High Speed PCB and System Design, Volumes 1 and 2, Speeding Edge, Aug and April 2007 Signal and Power Integrity Simplified, by Dr. Eric Bogatin, Prentice Hall, 2 nd Ed., 2009 The Perfect Stackup, PCB Magazine, by Barry Olney, In-Circuit Design, November

Plane Crazy, Part 2 BEYOND DESIGN. by Barry Olney

Plane Crazy, Part 2 BEYOND DESIGN. by Barry Olney by Barry Olney column BEYOND DESIGN Plane Crazy, Part 2 In my recent four-part series on stackup planning, I described the best configurations for various stackup requirements. But I did not have the opportunity

More information

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity Slide -1 Ten Habits of Highly Successful Board Designers or Design for Speed: A Designer s Survival Guide to Signal Integrity with Dr. Eric Bogatin, Signal Integrity Evangelist, Bogatin Enterprises, www.bethesignal.com

More information

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest The Inductance Loop Power Distribution in the Semiconductor Test Interface Jason Mroczkowski Multitest j.mroczkowski@multitest.com Silicon Valley Test Conference 2010 1 Agenda Introduction to Power Delivery

More information

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity Slide -1 Ten Habits of Highly Successful Board Designers or Design for Speed: A Designer s Survival Guide to Signal Integrity with Dr. Eric Bogatin, Signal Integrity Evangelist, Bogatin Enterprises, www.bethesignal.com

More information

Learning the Curve BEYOND DESIGN. by Barry Olney

Learning the Curve BEYOND DESIGN. by Barry Olney by Barry Olney coulmn BEYOND DESIGN Learning the Curve Currently, power integrity is just entering the mainstream market phase of the technology adoption life cycle. The early market is dominated by innovators

More information

Decoupling capacitor placement

Decoupling capacitor placement Decoupling capacitor placement Covered in this topic: Introduction Which locations need decoupling caps? IC decoupling Capacitor lumped model How to maximize the effectiveness of a decoupling cap Parallel

More information

PDN design and analysis methodology in SI&PI codesign

PDN design and analysis methodology in SI&PI codesign PDN design and analysis methodology in SI&PI codesign www.huawei.com Asian IBIS Summit, November 9, 2010, Shenzhen China Luo Zipeng (luozipeng@huawei.com) Liu Shuyao (liushuyao@huawei.com) HUAWEI TECHNOLOGIES

More information

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Presented by Chad Smutzer Mayo Clinic Special Purpose Processor Development

More information

Effective Routing of Multiple Loads

Effective Routing of Multiple Loads feature column BEYOND DESIGN Effective Routing of Multiple Loads by Barry Olney In a previous Beyond Design, Impedance Matching: Terminations, I discussed various termination strategies and concluded that

More information

Split Planes in Multilayer PCBs

Split Planes in Multilayer PCBs by Barry Olney coulmn BEYOND DESIGN Split Planes in Multilayer PCBs Creating split planes or isolated islands in the copper planes of multilayer PCBs at first seems like a good idea. Today s high-speed

More information

Differential Pair Routing

Differential Pair Routing C O L U M N BEYOND DESIGN Differential Pair Routing by Barry Olney IN-CIRCUIT DESIGN PTY LTD, AUSTRALIA A differential pair is two complementary transmission lines that transfer equal and opposite signals

More information

EMI. Chris Herrick. Applications Engineer

EMI. Chris Herrick. Applications Engineer Fundamentals of EMI Chris Herrick Ansoft Applications Engineer Three Basic Elements of EMC Conduction Coupling process EMI source Emission Space & Field Conductive Capacitive Inductive Radiative Low, Middle

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

Decoupling capacitor uses and selection

Decoupling capacitor uses and selection Decoupling capacitor uses and selection Proper Decoupling Poor Decoupling Introduction Covered in this topic: 3 different uses of decoupling capacitors Why we need decoupling capacitors Power supply rail

More information

Impedance Matching: Terminations

Impedance Matching: Terminations by Barry Olney IN-CIRCUIT DESIGN PTY LTD AUSTRALIA column BEYOND DESIGN Impedance Matching: Terminations The impedance of the trace is extremely important, as any mismatch along the transmission path will

More information

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Transceiver Portfolio Workshops 2009 Question What is Your PDN Design Methodology? Easy Complex Historical Full SPICE simulation

More information

Understanding, measuring, and reducing output noise in DC/DC switching regulators

Understanding, measuring, and reducing output noise in DC/DC switching regulators Understanding, measuring, and reducing output noise in DC/DC switching regulators Practical tips for output noise reduction Katelyn Wiggenhorn, Applications Engineer, Buck Switching Regulators Robert Blattner,

More information

DDR4 memory interface: Solving PCB design challenges

DDR4 memory interface: Solving PCB design challenges DDR4 memory interface: Solving PCB design challenges Chang Fei Yee - July 23, 2014 Introduction DDR SDRAM technology has reached its 4th generation. The DDR4 SDRAM interface achieves a maximum data rate

More information

Matched Length Matched Delay

Matched Length Matched Delay by Barry Olney column BEYOND DESIGN Matched Delay In previous columns, I have discussed matched length routing and how matched length does not necessarily mean matched delay. But, all design rules, specified

More information

Hardware Design Considerations for MKW41Z/31Z/21Z BLE and IEEE Device

Hardware Design Considerations for MKW41Z/31Z/21Z BLE and IEEE Device NXP Semiconductors Document Number: AN5377 Application Note Rev. 2, Hardware Design Considerations for MKW41Z/31Z/21Z BLE and IEEE 802.15.4 Device 1. Introduction This application note describes Printed

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model HSD Strategic Intent Provide the industry s premier HSD EDA software. Integration of premier

More information

Power Plane and Decoupling Optimization. Isaac Waldron

Power Plane and Decoupling Optimization. Isaac Waldron Power Plane and Decoupling Optimization p Isaac Waldron Overview Frequency- and time-domain power distribution system specifications Decoupling design example Bare board Added d capacitors Buried Capacitance

More information

Introduction to Board Level Simulation and the PCB Design Process

Introduction to Board Level Simulation and the PCB Design Process BEYOND DESIGN C O L U M N Introduction to Board Level Simulation and the PCB Design Process by Barry Olney IN-CIRCUIT DESIGN PTY LTD AUSTRALIA SUMMARY Board-level simulation reduces costs by identifying

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Texas Instruments DisplayPort Design Guide

Texas Instruments DisplayPort Design Guide Texas Instruments DisplayPort Design Guide April 2009 1 High Speed Interface Applications Introduction This application note presents design guidelines, helping users of Texas Instruments DisplayPort devices

More information

User2User The 2007 Mentor Graphics International User Conference

User2User The 2007 Mentor Graphics International User Conference 7/2/2007 1 Designing High Speed Printed Circuit Boards Using DxDesigner and Expedition Robert Navarro Jet Propulsion Laboratory, California Institute of Technology. User2User The 2007 Mentor Graphics International

More information

Multilayer PCB Stackup Planning

Multilayer PCB Stackup Planning by Barry Olney In-Circuit Design Pty Ltd Australia This Application Note details tried and proven techniques for planning high speed Multilayer PCB Stackup configurations. Planning the multilayer PCB stackup

More information

Wideband On-die Power Supply Decoupling in High Performance DRAM

Wideband On-die Power Supply Decoupling in High Performance DRAM Wideband On-die Power Supply Decoupling in High Performance DRAM Timothy M. Hollis, Senior Member of the Technical Staff Abstract: An on-die decoupling scheme, enabled by memory array cell technology,

More information

Ensuring Signal and Power Integrity for High-Speed Digital Systems

Ensuring Signal and Power Integrity for High-Speed Digital Systems Ensuring Signal and Power Integrity for High-Speed Digital Systems An EMC Perspective Christian Schuster Institut für Theoretische Elektrotechnik Technische Universität Hamburg-Harburg (TUHH) Invited Presentation

More information

EECS 473 Advanced Embedded Systems. Lecture 9: Groups introduce their projects Power integrity issues

EECS 473 Advanced Embedded Systems. Lecture 9: Groups introduce their projects Power integrity issues EECS 473 Advanced Embedded Systems Lecture 9: Groups introduce their projects Power integrity issues Final proposal due today Final proposal I should have signed group agreement now. I should have feedback

More information

Chapter 16 PCB Layout and Stackup

Chapter 16 PCB Layout and Stackup Chapter 16 PCB Layout and Stackup Electromagnetic Compatibility Engineering by Henry W. Ott Foreword The PCB represents the physical implementation of the schematic. The proper design and layout of a printed

More information

Electromagnetic Analysis of AC Coupling Capacitor Mounting Structures

Electromagnetic Analysis of AC Coupling Capacitor Mounting Structures Simbeor Application Note #2008_02, April 2008 2008 Simberian Inc. Electromagnetic Analysis of AC Coupling Capacitor Mounting Structures Simberian, Inc. www.simberian.com Simbeor : Easy-to-Use, Efficient

More information

Signal Integrity, Part 1 of 3

Signal Integrity, Part 1 of 3 by Barry Olney feature column BEYOND DESIGN Signal Integrity, Part 1 of 3 As system performance increases, the PCB designer s challenges become more complex. The impact of lower core voltages, high frequencies

More information

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY Rémy FERNANDES Lead Application Engineer ANSYS 1 2018 ANSYS, Inc. February 2, 2018 ANSYS ANSYS - Engineering simulation software leader Our industry reach

More information

Advanced Topics in EMC Design. Issue 1: The ground plane to split or not to split?

Advanced Topics in EMC Design. Issue 1: The ground plane to split or not to split? NEEDS 2006 workshop Advanced Topics in EMC Design Tim Williams Elmac Services C o n s u l t a n c y a n d t r a i n i n g i n e l e c t r o m a g n e t i c c o m p a t i b i l i t y e-mail timw@elmac.co.uk

More information

LVDS Flow Through Evaluation Boards. LVDS47/48EVK Revision 1.0

LVDS Flow Through Evaluation Boards. LVDS47/48EVK Revision 1.0 LVDS Flow Through Evaluation Boards LVDS47/48EVK Revision 1.0 January 2000 6.0.0 LVDS Flow Through Evaluation Boards 6.1.0 The Flow Through LVDS Evaluation Board The Flow Through LVDS Evaluation Board

More information

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen Si-Interposer Collaboration in IC/PKG/SI Eric Chen 4/Jul/2014 Design Overview U-bump Logic IC Mem IC C4 bump Logic IC Silicon/Organic substrate Interposer Mem IC CAP Package substrate Solder Ball VRM BGA

More information

High-end digital systems give a thumbs down to rules of thumb

High-end digital systems give a thumbs down to rules of thumb designfeature By Michael C Greim, Mercury Computer Systems Inc LOWER VOLTAGES, HIGHER CURRENT TRANSIENTS, AND HIGHER CLOCK RATES RENDER RULES OF THUMB USE- LESS EVEN HARMFUL FOR DESIGNING POWER-DISTRI-

More information

Automotive PCB SI and PI analysis

Automotive PCB SI and PI analysis Automotive PCB SI and PI analysis SI PI Analysis Signal Integrity S-Parameter Timing analysis Eye diagram Power Integrity Loop / Partial inductance DC IR-Drop AC PDN Impedance Power Aware SI Signal Integrity

More information

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi High Speed Design Issues and Jitter Estimation Techniques Jai Narayan Tripathi (jainarayan.tripathi@st.com) Outline Part 1 High-speed Design Issues Signal Integrity Power Integrity Jitter Power Delivery

More information

CPS-1848 PCB Design Application Note

CPS-1848 PCB Design Application Note Titl CPS-1848 PCB Design Application Note June 22, 2010 6024 Silver Creek Valley Road, San Jose, California 95138 Telephone: (408) 284-8200 Fax: (408) 284-3572 2010 About this Document This document is

More information

PI3DPX1207B Layout Guideline. Table of Contents. 1 Layout Design Guideline Power and GROUND High-speed Signal Routing...

PI3DPX1207B Layout Guideline. Table of Contents. 1 Layout Design Guideline Power and GROUND High-speed Signal Routing... PI3DPX1207B Layout Guideline Table of Contents 1 Layout Design Guideline... 2 1.1 Power and GROUND... 2 1.2 High-speed Signal Routing... 3 2 PI3DPX1207B EVB layout... 8 3 Related Reference... 8 Page 1

More information

How Long is Too Long? A Via Stub Electrical Performance Study

How Long is Too Long? A Via Stub Electrical Performance Study How Long is Too Long? A Via Stub Electrical Performance Study Michael Rowlands, Endicott Interconnect Michael.rowlands@eitny.com, 607.755.5143 Jianzhuang Huang, Endicott Interconnect 1 Abstract As signal

More information

Signal integrity means clean

Signal integrity means clean CHIPS & CIRCUITS As you move into the deep sub-micron realm, you need new tools and techniques that will detect and remedy signal interference. Dr. Lynne Green, HyperLynx Division, Pads Software Inc The

More information

The Facts about the Input Impedance of Power and Ground Planes

The Facts about the Input Impedance of Power and Ground Planes The Facts about the Input Impedance of Power and Ground Planes The following diagram shows the power and ground plane structure of which the input impedance is computed. Figure 1. Configuration of the

More information

Device-Specific Power Delivery Network (PDN) Tool User Guide

Device-Specific Power Delivery Network (PDN) Tool User Guide Device-Specific Power Delivery Network (PDN) Tool User Guide Device-Specific Power Delivery Network (PDN) Tool User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01134-1.1 Subscribe 2014

More information

Device-Specific Power Delivery Network (PDN) Tool User Guide

Device-Specific Power Delivery Network (PDN) Tool User Guide Device-Specific Power Delivery Network (PDN) Tool User Guide Device-Specific Power Delivery Network (PDN) Tool User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com UG-01064-1.1 Subscribe 2012

More information

The number of layers The number and types of planes (power and/or ground) The ordering or sequence of the layers The spacing between the layers

The number of layers The number and types of planes (power and/or ground) The ordering or sequence of the layers The spacing between the layers PCB Layer Stackup PCB layer stackup (the ordering of the layers and the layer spacing) is an important factor in determining the EMC performance of a product. The following four factors are important with

More information

Power Delivery Network (PDN) Tool

Power Delivery Network (PDN) Tool Power Delivery Network (PDN) Tool User Guide 101 Innovation Drive San Jose, CA 95134 http://www.altera.com Document Version: 1.0 Document Date: UG-01036-1.0 101 Innovation Drive San Jose, CA 95134 www.altera.com

More information

Power Delivery Network (PDN) Tool for Stratix IV Devices User Guide

Power Delivery Network (PDN) Tool for Stratix IV Devices User Guide Power Delivery Network (PDN) Tool for Stratix IV Devices User Guide 101 Innovation Drive San Jose, CA 95134 www.altera.com Document Version: 1.0 Document Date: March 2009 Copyright 2009 Altera Corporation.

More information

Taking the Mystery out of Signal Integrity

Taking the Mystery out of Signal Integrity Slide - 1 Jan 2002 Taking the Mystery out of Signal Integrity Dr. Eric Bogatin, CTO, GigaTest Labs Signal Integrity Engineering and Training 134 S. Wolfe Rd Sunnyvale, CA 94086 408-524-2700 www.gigatest.com

More information

Class-D Audio Power Amplifiers: PCB Layout For Audio Quality, EMC & Thermal Success (Home Entertainment Devices)

Class-D Audio Power Amplifiers: PCB Layout For Audio Quality, EMC & Thermal Success (Home Entertainment Devices) Class-D Audio Power Amplifiers: PCB Layout For Audio Quality, EMC & Thermal Success (Home Entertainment Devices) Stephen Crump http://e2e.ti.com Audio Power Amplifier Applications Audio and Imaging Products

More information

VLSI is scaling faster than number of interface pins

VLSI is scaling faster than number of interface pins High Speed Digital Signals Why Study High Speed Digital Signals Speeds of processors and signaling Doubled with last few years Already at 1-3 GHz microprocessors Early stages of terahertz Higher speeds

More information

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005 Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado 1 Problem Statement Package Interconnect Limits VLSI System Performance The three main components

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

EECS 473 Advanced Embedded Systems. Lecture 9: Groups introduce their projects Power integrity issues

EECS 473 Advanced Embedded Systems. Lecture 9: Groups introduce their projects Power integrity issues EECS 473 Advanced Embedded Systems Lecture 9: Groups introduce their projects Power integrity issues Project groups Please give a 2-3 minute overview of your project. Half the groups will do this each

More information

LVDS Owner s Manual. A General Design Guide for National s Low Voltage Differential Signaling (LVDS) Products. Moving Info with LVDS

LVDS Owner s Manual. A General Design Guide for National s Low Voltage Differential Signaling (LVDS) Products. Moving Info with LVDS LVDS Owner s Manual A General Design Guide for National s Low Voltage Differential Signaling (LVDS) Products Moving Info with LVDS Revision 2.0 January 2000 LVDS Evaluation Boards Chapter 6 6.0.0 LVDS

More information

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines December 2007, ver. 1.0 Introduction Application Note 508 Low-cost FPGAs designed on 90-nm and 65-nm process technologies are made to support

More information

Case Study Package Design & SI/PI analysis

Case Study Package Design & SI/PI analysis Caliber Interconnect Solutions Design for perfection Case Study Package Design & SI/PI analysis Caliber Interconnect Solutions (Pvt) Ltd No 6,1 st Street Gandhi Nagar, Kavundampalayam, Coimbatore-30. Tamil

More information

Power integrity is more than decoupling capacitors The Power Integrity Ecosystem. Keysight HSD Seminar Mastering SI & PI Design

Power integrity is more than decoupling capacitors The Power Integrity Ecosystem. Keysight HSD Seminar Mastering SI & PI Design Power integrity is more than decoupling capacitors The Power Integrity Ecosystem Keysight HSD Seminar Mastering SI & PI Design Signal Integrity Power Integrity SI and PI Eco-System Keysight Technologies

More information

PDN Application of Ferrite Beads

PDN Application of Ferrite Beads PDN Application of Ferrite Beads 11 TA3 Steve Weir CTO IPBLOX, LLC 1 Objectives Understand ferrite beads with a good model Understand PDN design w/ sensitive loads Understand how to determine when a ferrite

More information

HOW SMALL PCB DESIGN TEAMS CAN SOLVE HIGH-SPEED DESIGN CHALLENGES WITH DESIGN RULE CHECKING MENTOR GRAPHICS

HOW SMALL PCB DESIGN TEAMS CAN SOLVE HIGH-SPEED DESIGN CHALLENGES WITH DESIGN RULE CHECKING MENTOR GRAPHICS HOW SMALL PCB DESIGN TEAMS CAN SOLVE HIGH-SPEED DESIGN CHALLENGES WITH DESIGN RULE CHECKING MENTOR GRAPHICS H I G H S P E E D D E S I G N W H I T E P A P E R w w w. p a d s. c o m INTRODUCTION Coping with

More information

Optimizing Design of a Probe Card using a Field Solver

Optimizing Design of a Probe Card using a Field Solver Optimizing Design of a Probe Card using a Field Solver Rey Rincon, r-rincon@ti.com Texas Instruments 13020 Floyd Rd MS 3616 Dallas, TX. 75243 972-917-4303 Eric Bogatin, bogatin@ansoft.com Bill Beale, beale@ansoft.com

More information

Measurement and Comparative S21 Performance of Raw and Mounted Decoupling Capacitors

Measurement and Comparative S21 Performance of Raw and Mounted Decoupling Capacitors Measurement and Comparative S21 Performance of Raw and Mounted Decoupling Capacitors Summary Introduction Capacitors All IC power systems require some level of passive decoupling. The ability to accurately

More information

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014 Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application Institute of Microelectronics 22 April 2014 Challenges for HD Fan-Out Electrical Design 15-20 mm 7 mm 6 mm SI/PI with multilayer

More information

PCB Design Guidelines for GPS chipset designs. Section 1. Section 2. Section 3. Section 4. Section 5

PCB Design Guidelines for GPS chipset designs. Section 1. Section 2. Section 3. Section 4. Section 5 PCB Design Guidelines for GPS chipset designs The main sections of this white paper are laid out follows: Section 1 Introduction Section 2 RF Design Issues Section 3 Sirf Receiver layout guidelines Section

More information

Decoupling Capacitance

Decoupling Capacitance Decoupling Capacitance Nitin Bhardwaj ECE492 Department of Electrical and Computer Engineering Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling

More information

Faster than a Speeding Bullet

Faster than a Speeding Bullet BEYOND DESIGN Faster than a Speeding Bullet by Barry Olney IN-CIRCUIT DESIGN PTY LTD AUSTRALIA In a previous Beyond Design column, Transmission Lines, I mentioned that a transmission line does not carry

More information

High-Speed PCB Design Considerations

High-Speed PCB Design Considerations December 2006 Introduction High-Speed PCB Design Considerations Technical Note TN1033 The backplane is the physical interconnection where typically all electrical modules of a system converge. Complex

More information

Demystifying Vias in High-Speed PCB Design

Demystifying Vias in High-Speed PCB Design Demystifying Vias in High-Speed PCB Design Keysight HSD Seminar Mastering SI & PI Design db(s21) E H What is Via? Vertical Interconnect Access (VIA) An electrical connection between layers to pass a signal

More information

IC Decoupling and EMI Suppression using X2Y Technology

IC Decoupling and EMI Suppression using X2Y Technology IC Decoupling and EMI Suppression using X2Y Technology Summary Decoupling and EMI suppression of ICs is a complex system level engineering problem complicated by the desire for faster switching gates,

More information

Application Note 5525

Application Note 5525 Using the Wafer Scale Packaged Detector in 2 to 6 GHz Applications Application Note 5525 Introduction The is a broadband directional coupler with integrated temperature compensated detector designed for

More information

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction Manufacture and Performance of a Z-interconnect HDI Circuit Card Michael Rowlands, Rabindra Das, John Lauffer, Voya Markovich EI (Endicott Interconnect Technologies) 1093 Clark Street, Endicott, NY 13760

More information

AltiumLive 2017: Component selection for EMC

AltiumLive 2017: Component selection for EMC AltiumLive 2017: Component selection for EMC Martin O Hara Victory Lighting Ltd Munich, 24-25 October 2017 Component Selection Passives resistors, capacitors and inductors Discrete diodes, bipolar transistors,

More information

Webinar: Suppressing BGAs and/or multiple DC rails Keith Armstrong. 1of 5

Webinar: Suppressing BGAs and/or multiple DC rails Keith Armstrong. 1of 5 1of 5 Suppressing ICs with BGA packages and multiple DC rails Some Intel Core i5 BGA packages CEng, EurIng, FIET, Senior MIEEE, ACGI Presenter Contact Info email: keith.armstrong@cherryclough.com website:

More information

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014 Considerations in High-Speed High Performance Die-Package-Board Co-Design Jenny Jiang Altera Packaging Department October 2014 Why Co-Design? Complex Multi-Layer BGA Package Horizontal and vertical design

More information

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support www.ozeninc.com info@ozeninc.com (408) 732 4665 1210 E Arques Ave St 207 Sunnyvale, CA 94085 Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training &

More information

P R E F A C E The Focus of This Book xix

P R E F A C E The Focus of This Book xix P REFACE The Focus of This Book Power integrity is a confusing topic in the electronics industry partly because it is not well-defined and can encompass a wide range of problems, each with their own set

More information

Design of the Power Delivery System for Next Generation Gigahertz Packages

Design of the Power Delivery System for Next Generation Gigahertz Packages Design of the Power Delivery System for Next Generation Gigahertz Packages Madhavan Swaminathan Professor School of Electrical and Computer Engg. Packaging Research Center madhavan.swaminathan@ece.gatech.edu

More information

PDS Impact for DDR Low Cost Design

PDS Impact for DDR Low Cost Design PDS Impact for DDR3-1600 Low Cost Design Jack W.C. Lin Sr. AE Manager jackl@cadence.com Aug. g 13 2013 Cadence, OrCAD, Allegro, Sigrity and the Cadence logo are trademarks of Cadence Design Systems, Inc.

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

Characterization of Alternate Power Distribution Methods for 3D Integration

Characterization of Alternate Power Distribution Methods for 3D Integration Characterization of Alternate Power Distribution Methods for 3D Integration David C. Zhang, Madhavan Swaminathan, David Keezer and Satyanarayana Telikepalli School of Electrical and Computer Engineering,

More information

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Mikhail Popovich and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester, Rochester,

More information

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design DesignCon 2009 Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design Hsing-Chou Hsu, VIA Technologies jimmyhsu@via.com.tw Jack Lin, Sigrity Inc.

More information

FPGA World Conference Stockholm 08 September John Steinar Johnsen -Josse- Senior Technical Advisor

FPGA World Conference Stockholm 08 September John Steinar Johnsen -Josse- Senior Technical Advisor FPGA World Conference Stockholm 08 September 2015 John Steinar Johnsen -Josse- Senior Technical Advisor Agenda FPGA World Conference Stockholm 08 September 2015 - IPC 4101C Materials - Routing out from

More information

EMI/EMC of Entire Automotive Vehicles and Critical PCB s. Makoto Suzuki Ansoft Corporation

EMI/EMC of Entire Automotive Vehicles and Critical PCB s. Makoto Suzuki Ansoft Corporation EMI/EMC of Entire Automotive Vehicles and Critical PCB s Makoto Suzuki Ansoft Corporation WT10_SI EMI/EMC of Entire Automotive Vehicles and Critical PCB s Akira Ohta, Toru Watanabe, Benson Wei Makoto Suzuki

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

A Resonance-Free Power Delivery System Design Methodology applying 3D Optimized Extended Adaptive Voltage Positioning.

A Resonance-Free Power Delivery System Design Methodology applying 3D Optimized Extended Adaptive Voltage Positioning. A Resonance-Free Power Delivery System Design Methodology applying 3D Optimized Extended Adaptive Voltage Positioning Tao Xu Brad Brim Agenda Adaptive voltage positioning (AVP) Extended adaptive voltage

More information

EECS 473 Advanced Embedded Systems. Lecture 9: Groups introduce their projects Power integrity issues

EECS 473 Advanced Embedded Systems. Lecture 9: Groups introduce their projects Power integrity issues EECS 473 Advanced Embedded Systems Lecture 9: Groups introduce their projects Power integrity issues Project groups Please give a 2-3 minute overview of your project. Today: ARM chairs The group formerly

More information

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug JEDEX 2003 Memory Futures (Track 2) High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug Brock J. LaMeres Agilent Technologies Abstract Digital systems are turning out

More information

Session 5 PCB Advancements And Opportunities

Session 5 PCB Advancements And Opportunities Minimizing Socket & Board Inductance using a Novel decoupling Interposer 2007 Burn-in and Test Socket Workshop Nick Langston James Zhou, Hongjun Yao It is better to uncover a little than to cover a lot.

More information

March 6-9, 2016 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive- Session 4

March 6-9, 2016 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive- Session 4 Proceedings Archive March 6-9, 2016 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive- Session 4 2016 BiTS Workshop Image: Stiop / Dollarphotoclub Proceedings Archive Presentation / Copyright Notice The

More information

A Simplified QFN Package Characterization Technique

A Simplified QFN Package Characterization Technique Slide -1 A Simplified QFN Package Characterization Technique Dr. Eric Bogatin and Trevor Mitchell Bogatin Enterprises Dick Otte, President, Promex 8/1/10 Slide -2 Goal of this Project Develop a simple

More information

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Youngwon Kim, Chunghyun Ryu, Jongbae Park, and Joungho Kim Terahertz Interconnection and Package Laboratory,

More information

Improvements of LLC Resonant Converter

Improvements of LLC Resonant Converter Chapter 5 Improvements of LLC Resonant Converter From previous chapter, the characteristic and design of LLC resonant converter were discussed. In this chapter, two improvements for LLC resonant converter

More information

PCB layout guidelines. From the IGBT team at IR September 2012

PCB layout guidelines. From the IGBT team at IR September 2012 PCB layout guidelines From the IGBT team at IR September 2012 1 PCB layout and parasitics Parasitics (unwanted L, R, C) have much influence on switching waveforms and losses. The IGBT itself has its own

More information

Section VI. PCB Layout Guidelines

Section VI. PCB Layout Guidelines Section VI. PCB Layout Guidelines This section provides information for board layout designers to successfully layout their boards for Stratix II devices. These chapters contain the required PCB layout

More information

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL POWER INTEGRITY ANALYSIS AND MANAGEMENT I CIRCUITS Raj Nair Donald Bennett PRENTICE HALL Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown

More information

EMC for Printed Circuit Boards

EMC for Printed Circuit Boards 9 Bracken View, Brocton Stafford, Staffs, UK tel: +44 (0)1785 660 247 fax +44 (0)1785 660 247 email: keith.armstrong@cherryclough.com web: www.cherryclough.com EMC for Printed Circuit Boards Basic and

More information