Characterization of Alternate Power Distribution Methods for 3D Integration

Size: px
Start display at page:

Download "Characterization of Alternate Power Distribution Methods for 3D Integration"

Transcription

1 Characterization of Alternate Power Distribution Methods for 3D Integration David C. Zhang, Madhavan Swaminathan, David Keezer and Satyanarayana Telikepalli School of Electrical and Computer Engineering, Georgia Institute of Technology Atlanta, GA 30332, USA. Phone: , Fax: Abstract Signal return path discontinuities, parasitic inductance and impedance mismatch within interconnects are major factors that contribute to degraded high-speed signal quality in threedimensional (3D) integrated circuits and systems. In this paper, we apply an alternate power delivery method and a novel I/O signaling scheme to a 3D system to address these issues. Two test vehicles made of stacked PCBs that resemble 3D integrated systems will be presented. One test vehicle is designed based on our proposed approach while the other is based on the conventional power delivery network design. The signal integrity and power supply noise performance will be shown in both simulated environment and actual test measurement. At data rates up to 3Gbps, our proposed design produces higher signal quality than the conventional design with better eye height, lower timing jitter, and lower power supply noise. Index Terms Power delivery network (PDN), power transmission line (PTL), simultaneous switching noise (SSN), power supply noise (PSN), return path discontinuity (RPD). I. INTRODUCTION In the current and future high-speed digital systems, the I/O speed is being pushed to tens or even hundreds of gigabits per second with transition time precision in femtoseconds. Additionally, the supply voltages in an integrated chip (IC) also trends down to sub-volt range to prevent current leakage and gate breakdown due to shrinking transistor size. As a result, noise tolerance in a high-speed digital system decreases as the circuits switch faster. Therefore good signal integrity (SI) and power integrity (PI) are vital for the success of any high-speed systems. A 3D IC system such as the one shown in Fig. 1, it normally consists of a PCB and a stack of heterogeneous IC dies. Maintaining high SI and PI in such a complex structure has its own challenges. The first challenge is return path discontinuities (RPD). The modern printed circuit board (PCB) designs have become more challenging due to increased number of layers, complex power delivery network and high routing density. As a result, maintaining uninterrupted return paths and avoiding impedance mismatch for high-speed signals in the face of current power delivery network design is very difficult. Many times additional ground layers have to be added for the purpose of providing a complete reference for fast switching signals. This approach significantly increases the manufacturing cost. Return path discontinuities occur when transmission lines carrying fast /14/$ IEEE Fig. 1 A conventional 3D model for simulation edged signals make via transitions without proper ground reference or are routed over splits of planes underneath. Return path discontinuities cause increased loop inductance formed by the forward and return signals, excite cavity resonance within the PCB, and facilitate unwanted electromagnetic coupling which can then lead to degraded signal quality and increased simultaneous switching noise [1][2]. Fig. 2 shows an illustration of how RPD can occur in a PCB. The return path for the forward signal is interrupted by the middle plane 1 layer which forces return current to jump between plane 1 and 2. This return path interruption can lead to increased effective loop inductance and undesired signal and PDN coupling. Fig. 2 Illustration of RPD cause-effect in a PCB The second issue is the increasing parasitic inductance as the height of the IC stack grows. As illustrated in [3] and [4], Electronic Components & Technology Conference

2 due to increasing parasitic inductance from interconnects among the stacked dies, the impedance of the PDN of a higher die is more than that of a lower die in the inductive region. Consequently this increasing parasitic inductance contributes to higher simultaneous switching noise (SSN) as one moves up the stack. The third issue is impedance mismatch among the various interconnect for signal, power and ground. As one can see from Fig. 1 that there are various bonding structures such as ball grid array (BGA), soldering micro bump and through silicon via (TSV) along a power or ground rail interconnect that runs from bottom to the top. It would be impractical to expect their impedances would match among themselves. The mismatch among interconnects causes voltage and current reflection as well as unwanted coupling among the interconnects. The aggregate effect of the aforementioned three major problems with 3D ICs systems causes increased system level noise and reduced SI and PI. To reduce the noise, the most common method used is by using on-chip and/or off-chip decoupling capacitors. Therefore, many studies are devoted to the characterization, selection and placement of decoupling capacitors. The works done in [5]-[7] focus on relatively large two dimensional systems such as a computer server in which space may not be a critical constrain. However for many modern smart consumer electronics such smartphones and 3D integrated systems, on-board or on-chip real estate is a precious commodity. There may not be enough space to place the required number of off-chip decoupling capacitors in order to meet the impedance target. In addition, adding decoupling capacitors whether on-chip or off-chip does not always help to meet the impedance target or design requirement in ICs due to current leakage and parasitic inductance. For on-chip decoupling capacitors, the effectiveness of the capacitors also heavily depends on the distance between the capacitors and where noise needs to be controlled. Researchers and designers also propose to put voltage regulators on chip. However, the effectiveness of these regulators also heavily depends on the placement [8]. Power efficiency of the on-chip regulars is another important factor to determine whether it is a worthwhile approach. Fig. 3 Power transmission line based PDN in a PCB The design method we propose in this paper uses few or no decoupling capacitors to suppress noise. Instead, we tackle the problem in a proactive way by redesigning the power delivery network in a 3D system working in tandem with a new signaling scheme. We propose to use power transmission lines (PTL) to replace power planes in conventional PDN designs in PCBs so that the forward signal and its return path will be able to form a complete loop and hence eliminate the RPD effect as shown in Fig. 3 and [1]. We further improve the design by introducing a novel high-speed signal scheme that would add a current compensation mechanism in order to reduce current transient on the power supply rail [1]-[2]. The design approach is named constant current power transmission line or. The proof of concept was demonstrated in [1]. The PTL design concept was then applied to 3D models and produced optimistic results in the previously published work in [4] and [9]. The simulated result showed the SI and PI performances of our proposed method clearly exceed that of the traditional design in a 3D system. However, there was no measurement that had been done in an actual 3D test vehicle with our proposed design until now. This paper will report the design of two 3D test vehicles based on conventional PDN design and the PTL design respectively. The high-speed signal eye-height, peak to peak jitter and power supply noise will be shown and compared between the two designs. We will show that with minimum decoupling capacitors, our proposed PTL-based design can produce better SI and PI than conventional design in a 3D environment even with parasitic inductance of several nanohenries at a data rate of 3Gbps PRBS. This paper is arranged as follows: in section II, a brief summary of design is introduced. The manufacturing details of the 3D test vehicles are reported in section III. Measurement results of two test cases are shown to demonstrate proof of concept in a 3D test vehicle. Finally, we conclude this paper through a summary in section V. II. Proposed PTL-Based design The fundamental difference in PTL based design is the use of transmission lines as the medium to carry power from the supply source to local digital logics instead of the traditional use of power planes [1]. By removing power planes, only ground planes exist in a PCB design. Regardless of the complexity of the PCB, continuous reference for the return path of a forward signals is made easily accessible. Therefore, a complete loop of forward and return path can be formed without inducing any RPDs as shown in Fig. 3. As a result, both SI and PI can be significantly improved [1] and [2]. A PTL based 3D IC system model is shown in Fig. 4. By using PTL as a conduit to channel power from the power supply to local active devices, dynamic data dependent DC drop will occur. In addition, impedance of the PTL needs to be matched with that of the on-resistance of the connected drivers to minimize reflection. This is a difficult task for practical designs. Therefore, a signaling method was proposed in [1] named constant current PTL or which can address both dynamic DC drop and impedance mismatch issues by providing a current compensating mechanism, 2261

3 namely the dummy path, based on the input data as shown in Fig. 5. This mechanism ensures that regardless of the state of the input bit, the current draw from the power supply source through the PTL remains the same. Therefore current fluctuation or di/dt is minimized [1] and [2]. With a minimum di/dt, even we have significant parasitic inductance along the path of PDN in a 3D structure; noise can be kept at a minimum [4]. For each version of the TVs, the designed PCBs are stacked together to create a 3D structure as illustrated in Fig. 8. The bottom PCB is the motherboard. On top of the motherboard are three stacked PCBs that are named daughter cards. Each daughter card has multiple high speed I/O drivers. The PCBs are joined together by board-board connectors. The boardboard connector pins can be treated as interconnects of the 3D model as described in section I. The inductance of each connection within the board-board connector is estimated to be 7.77nH between adjacent PCBs. The parasitic inductance between adjacent stacked dies in our previously presented simulation model [4] was about 66.9pH. Therefore, the inductance between two stacked PCBs in the TVs is approximately 116 times more than the parasitic inductance from the simulation model presented in [4]. We will show through measurement that even with this large parasitic inductance we can still maintain good SI and PI with our proposed PTL based design with minimum number of decoupling capacitors. Fig. 4 A PTL-based 3D model for simulation Fig. 6 3D PCB structure illustration and test setup Fig. 5. (a) schematic. (b) simulated waveform at nodes V_PTL and V_out III. 3D PCB test vehicle information In order to model a 3D IC system that consists of a stack of IC dies and a PCB at the bottom as shown in Fig. 1 and 4, two versions 3D test vehicles made of PCBs were designed and fabricated. The first version was designed based on PTL power delivery network and the second, conventional PDN. Both motherboard and daughter cards are 4-layer FR-4 PCBs whose stack-up is shown as shown in Fig 7. The top and bottom layers are used for routing purpose. The middle two layers are ground layers for PTL based TV as the power plane is replaced by PTLs which are routed on the bottom layer. For conventional design, layer-2 is set as VDD power layer and layer-3 is assigned to ground. The dimension of the motherboard is 18.41cm by 10.16cm. The daughter-card is approximately 12.12cm by 35.56cm. Mated height between adjacent PCB is 11.05mm. In the conventional design TV, the top daughter card has eighteen 0402 and eighteen 0603 decoupling capacitors strategically placed around the board to suppress the power supply noise. On the PTL based TV; however, only two 0402 decoupling capacitors are placed for every active driver. The ratio of the placed decoupling capacitors on the convention design to that on the PTL design at the time of measurement was approximately 9:1. The test setup is illustrated in Fig. 6. The signal generator is an Agilent 81133A 3.35GHz Pulse/Pattern Generator. The oscilloscope is an Agilent DCA-X 86100D with HP 54752A Two-Channel 50GHz Module probe. The IC drivers are OnSemiconductor NBSG16 12Gbps SiGe drivers. Since the signal generator can only generate one pair of differential 2262

4 signals, a 1:16 fan-out board is used to drive more drivers on the TVs. The lab-bench setup is shown in Fig. 8. The intermittent crossover among the impedance curves is due to board to board process variation. Fig. 7 PCB stackup for the 3D test vehicles Fig. 9 Top view of the daughter card with mounted SMA connectors Fig. 10 Measured impedance profile of the test vehicle based on conventionally PDN design Fig 8 Lab-bench setup for 3D test vehicle measurement IV. 3D PCB Test Vehicle Measured Results As with the 3D IC system model which was previously shown in [7], the impedance profile of the conventional 3D test vehicle is measured with a VNA with SMA connectors mounted directly onto the test points as shown in Fig. 9. Due to the larger scale of the TV the impedance is about eight times higher than that in [7] for the simulated 3D IC system model; nonetheless, the general trend of higher impedance for higher stacked PCB can be seen from Fig. 10. Next, we excite a total of six drivers with a 3Gbps pseudorandom bit stream, two drivers on each daughter card, to compare the SI and PI performance. The eye diagrams and performance data plot of the conventional and PTL based designs are shown in Fig. 15. From Fig. 15 and Fig. 10, both simulated and actual lab measurement, the proposed design performance exceed that of the conventional design in term of eye height and especially in p-p jitter and power supply noise. For example, at the 3rd stack the eye height of the design is 104% higher, p-p jitter is 53.3% lower and power supply noise is 72.9% lower than the conventional design. Both the power supply noise and p-p jitter at each daughter card of the PTL-based TV varies very little with a standard deviation of only 5.5 and 4.4 respectively while those in the conventional design varies by as much as almost 26 and 13 respectively. Table 1 presents a summary of measured results and percentage of improvement. 2263

5 Table 1 Performance comparison between PTL based and conventional designs Eye Height (mv) P-P Jitter (PS) PSN (mv) DC DC DC *CNV=Conventional Based Design We have also compared the SI performances of the two TVs at different speeds. Fig. 12 shows the eye diagrams measured at the 3rd stacks of the two TVs at 1Gbps and 3Gbps respectively with one active driver on each TV. The performance of design again exceeds that of the conventional design. The peak to peak jitter improves by over 40%. While the eye heights between the two designs are similar at 1Gbps, the eye of the conventional design is significantly reduced at a higher speed of 3Gbps. Table 2 summarizes the measured data. (a) Fig. 12 Measured Eye Diagrams at the 3rd stacks of the Two TV s with 1Gbps and 3Gbps Data rates Table 2 Performance Comparison between Based and Conventional Designs at 1Gbps and 3Gbps at the 3rd Stack Eye Height (mv) P-P Jitter (PS) 1Gbps Gbps *CNV=Conventional Based Design V. Conclusion (b) Fig. 11 (a) Eye diagrams measured at each level of the TVs (b) Plots of the SI and PI Performance Comparison of the PTL-base and Conventional Design TVs In this paper, we present an alternate power delivery network design by proposing to replace conventional power planes with power transmission lines. The advantage of this approach is to eliminate return path discontinuities in a PCB. We further offered a high speed signaling scheme to work in tandem with the PTL design in order to solve impedance mismatch and parasitic inductance issues along the power and ground rail interconnects in a 3D system. To show proof of concept and advantage of our design approach, two 3D test 2264

6 vehicles made of stacked PCBs were made and subsequently tested and measured. The stack consists of three daughter cards stacked on a mother board with sockets in between them, which mimics a 3D IC stack with approximately 160 times more inductance between the stacks. We successfully demonstrated for the first time the measured SI and PI results on a complex 3D stacked test vehicle that implements our proposed alternate PDN design and signaling method, namely constant current power transmission line. The communication between the stacked boards using this new comprehensive method is made possible due to better and more consistent signal and power integrities as compared to the conventional designs. Eye height, jitter and power supply noise measurements for channels operating at 1Gbps and 3Gbps using the alternate methods were shown and compared with the more traditional methods used today. Even with far more decoupling capacitors placed on top of the conventional test vehicle, the SI and PI performance of the PTL-based test vehicle still outperforms the former by significant margins. As an example, the eye height has improved by 104%; p-p jitter and power supply noise have reduced by 53.3% and 72.9% respectively at the 3rd stacked daughter card in the -based test vehicle as compared to the conventionally designed test vehicle when all daughter cards are active. This PTL design concept was also applied to silicon interposer based 3D IC systems with advantages quantified through simulations [4] and [9]. [8] [9] ACKNOLWEDGEMENT This research was supported by NSF under the reward number ECCS The main author would like to thank Professor Swaminathan for his guidance, confidence and support throughout the development of the test vehicles. He would also like to thank Professor Keezer for his valuable feedback during the design and layout of the test vehicles. Professor Keezer also generously provided the access to the many of his lab equipment. REFERENCE [1] [2] [3] [4] [5] [6] [7] S. Huh, M. Swaminathan and D. Keezer, "Constant current power transmission line based power delivery network for single-ended signaling," IEEE Transactions on Electromagnetic Compatibility, Vol. 53, Issue: 4, pp: , S. Huh, D. Chung and M. Swaminathan, Achieving near zero SSN power delivery networks by eliminating power planes and using constant current power transmission lines, in Proceedings of EPEPS, pp , 2009 K. Kim, W. Lee, J. Kim, T. Song, J. Kim, J. S. Pak, J. Kim, H. Lee, Y. Kwon and K. Park, Analysis of Power Distribution Network in TSVbased 3D-IC, Electrical Performance of Electronic Packaging and Systems (EPEPS), Zhang, D.C. ; Swaminathan, M. ; Huh, S., New power delivery scheme for 3D ICs to minimize simultaneous switching noise for high speed I/Os, 2012 IEEE 21st Conference on Electrical Performance of Electronic Packaging and Systems (EPEPS). Novak, I., Miller, J., Frequency-dependent characterization of bulk and ceramic bypass capacitors, Electrical Performance of Electronic Packing (EPEP), pp , Novak, I., Power Distribution Network Design Methodologies, IEC publications, December, Larry D. Smith, Raymond E. Anderson, Douglas W. Forehand, Thomas J. Pelc, and Tanmoy Roy, Power Distribution System Design 2265 Methodology and Capacitor Selection for Modern CMOS Technology, IEEE Trans. on AP, vol. 22, no. 3, pp , Kose, S, Friedman, E.G., Distributed power network co-design with on-chip power supplies and decoupling capacitors, th International Workshop on System Level Interconnect Prediction (SLIP), pp. 1-5, June Telikepalli, S.; Zhang, D.C.; Swaminathan, M.; Keezer, D., "Constant Voltage-Based Power Delivery Scheme for 3-D ICs and Interposers," Components, Packaging and Manufacturing Technology, IEEE Transactions on, vol.pp, no.99, 2013

Implementation of Power Transmission Lines to Field Programmable Gate Array ICs for Managing Signal and Power Integrity

Implementation of Power Transmission Lines to Field Programmable Gate Array ICs for Managing Signal and Power Integrity Implementation of Power Transmission Lines to Field Programmable Gate Array ICs for Managing Signal and Power Integrity Sang Kyu Kim, Satyanarayana Telikepalli, Sung Joo Park, Madhavan Swaminathan and

More information

Minimizing Coupling of Power Supply Noise Between Digital and RF Circuit Blocks in Mixed Signal Systems

Minimizing Coupling of Power Supply Noise Between Digital and RF Circuit Blocks in Mixed Signal Systems Minimizing Coupling of Power Supply Noise Between Digital and RF Circuit Blocks in Mixed Signal Systems Satyanarayana Telikepalli, Madhavan Swaminathan, David Keezer Department of Electrical & Computer

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Basic Concepts C HAPTER 1

Basic Concepts C HAPTER 1 C HAPTER 1 Basic Concepts Power delivery is a major challenge in present-day systems. This challenge is expected to increase in the next decade as systems become smaller and new materials are introduced

More information

/14/$ IEEE 470

/14/$ IEEE 470 Analysis of Power Distribution Network in Glass, Silicon Interposer and PCB Youngwoo Kim, Kiyeong Kim Jonghyun Cho, and Joungho Kim Department of Electrical Engineering, KAIST Daejeon, South Korea youngwoo@kaist.ac.kr

More information

Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems

Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems Prathap Muthana, Madhavan Swaminathan, Rao Tummala, P.Markondeya Raj, Ege Engin,Lixi

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer

Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer Youngwoo Kim 1, Jonghyun Cho 1, Kiyeong Kim 1, Venky Sundaram 2, Rao Tummala 2 and Joungho

More information

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Presented by Chad Smutzer Mayo Clinic Special Purpose Processor Development

More information

Welcome to the Signal Integrity Column! In this issue, you will

Welcome to the Signal Integrity Column! In this issue, you will Signal Integrity Jun Fan, Associate Editor Welcome to the Signal Integrity Column! In this issue, you will find a very interesting paper on power integrity. In modern high-speed digital designs, power

More information

Silicon Interposers enable high performance capacitors

Silicon Interposers enable high performance capacitors Interposers between ICs and package substrates that contain thin film capacitors have been used previously in order to improve circuit performance. However, with the interconnect inductance due to wire

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications 3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications Darryl Kostka, CST of America Taigon Song and Sung Kyu Lim, Georgia Institute of Technology Outline Introduction TSV Array

More information

Effect of Power Noise on Multi-Gigabit Serial Links

Effect of Power Noise on Multi-Gigabit Serial Links Effect of Power Noise on Multi-Gigabit Serial Links Ken Willis (kwillis@sigrity.com) Kumar Keshavan (ckumar@sigrity.com) Jack Lin (jackwclin@sigrity.com) Tariq Abou-Jeyab (tariqa@sigrity.com) Sigrity Inc.,

More information

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer 2016 IEEE 66th Electronic Components and Technology Conference Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer Youngwoo Kim, Jinwook Song, Subin Kim

More information

Modelling electromagnetic field coupling from an ESD gun to an IC

Modelling electromagnetic field coupling from an ESD gun to an IC Modelling electromagnetic field coupling from an ESD gun to an IC Ji Zhang #1, Daryl G Beetner #2, Richard Moseley *3, Scott Herrin *4 and David Pommerenke #5 # EMC Laboratory, Missouri University of Science

More information

DESIGN OF POWER DELIVERY NETWORKS USING POWER TRANSMISSION LINES FOR HIGH SPEED I/O SIGNALING IN COMPLEX ELECTRONIC SYSTEMS

DESIGN OF POWER DELIVERY NETWORKS USING POWER TRANSMISSION LINES FOR HIGH SPEED I/O SIGNALING IN COMPLEX ELECTRONIC SYSTEMS DESIGN OF POWER DELIVERY NETWORKS USING POWER TRANSMISSION LINES FOR HIGH SPEED I/O SIGNALING IN COMPLEX ELECTRONIC SYSTEMS A Dissertation Presented to The Academic Faculty by David C. Zhang In Partial

More information

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005 Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado 1 Problem Statement Package Interconnect Limits VLSI System Performance The three main components

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV)

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV) Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV) Jihye Kim, Insu Hwang, Youngwoo Kim, Heegon Kim and Joungho Kim Department of Electrical Engineering

More information

Design of the Power Delivery System for Next Generation Gigahertz Packages

Design of the Power Delivery System for Next Generation Gigahertz Packages Design of the Power Delivery System for Next Generation Gigahertz Packages Madhavan Swaminathan Professor School of Electrical and Computer Engg. Packaging Research Center madhavan.swaminathan@ece.gatech.edu

More information

DesignCon Effect of Power Plane Inductance on Power Delivery Networks. Shirin Farrahi, Cadence Design Systems

DesignCon Effect of Power Plane Inductance on Power Delivery Networks. Shirin Farrahi, Cadence Design Systems DesignCon 2019 Effect of Power Plane Inductance on Power Delivery Networks Shirin Farrahi, Cadence Design Systems shirinf@cadence.com, 978-262-6008 Ethan Koether, Oracle Corp ethan.koether@oracle.com Mehdi

More information

System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing

System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing Larry Smith, Shishuang Sun, Peter Boyle, Bozidar Krsnik Altera Corp. Abstract-Power

More information

MPC 5534 Case study. E. Sicard (1), B. Vrignon (2) Toulouse France. Contact : web site :

MPC 5534 Case study. E. Sicard (1), B. Vrignon (2) Toulouse France. Contact : web site : MPC 5534 Case study E. Sicard (1), B. Vrignon (2) (1) INSA-GEI, 135 Av de Rangueil 31077 Toulouse France (2) Freescale Semiconductors, Toulouse, France Contact : etienne.sicard@insa-toulouse.fr web site

More information

Are Power Planes Necessary for High Speed Signaling?

Are Power Planes Necessary for High Speed Signaling? DesignCon 2012 Are Power Planes Necessary for High Speed Signaling? Suzanne L. Huh, Intel Corporation [suzanne.l.huh@intel.com] Madhavan Swaminathan, Georgia Institute of Technology [madhavan.swaminathan@ece.gatech.edu]

More information

DesignCon Noise Injection for Design Analysis and Debugging

DesignCon Noise Injection for Design Analysis and Debugging DesignCon 2009 Noise Injection for Design Analysis and Debugging Douglas C. Smith, D. C. Smith Consultants [Email: doug@dsmith.org, Tel: 408-356-4186] Copyright! 2009 Abstract Troubleshooting PCB and system

More information

SINCE the performance of personal computers (PCs) has

SINCE the performance of personal computers (PCs) has 334 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 5, MAY 2010 Multi-Slot Main Memory System for Post DDR3 Jaejun Lee, Sungho Lee, and Sangwook Nam, Member, IEEE Abstract This

More information

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Transceiver Portfolio Workshops 2009 Question What is Your PDN Design Methodology? Easy Complex Historical Full SPICE simulation

More information

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY Rémy FERNANDES Lead Application Engineer ANSYS 1 2018 ANSYS, Inc. February 2, 2018 ANSYS ANSYS - Engineering simulation software leader Our industry reach

More information

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design DesignCon 2009 Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design Hsing-Chou Hsu, VIA Technologies jimmyhsu@via.com.tw Jack Lin, Sigrity Inc.

More information

Automotive PCB SI and PI analysis

Automotive PCB SI and PI analysis Automotive PCB SI and PI analysis SI PI Analysis Signal Integrity S-Parameter Timing analysis Eye diagram Power Integrity Loop / Partial inductance DC IR-Drop AC PDN Impedance Power Aware SI Signal Integrity

More information

Research in Support of the Die / Package Interface

Research in Support of the Die / Package Interface Research in Support of the Die / Package Interface Introduction As the microelectronics industry continues to scale down CMOS in accordance with Moore s Law and the ITRS roadmap, the minimum feature size

More information

Understanding, measuring, and reducing output noise in DC/DC switching regulators

Understanding, measuring, and reducing output noise in DC/DC switching regulators Understanding, measuring, and reducing output noise in DC/DC switching regulators Practical tips for output noise reduction Katelyn Wiggenhorn, Applications Engineer, Buck Switching Regulators Robert Blattner,

More information

SSO Noise, Eye Margin, and Jitter Characterization for I/O Power Integrity

SSO Noise, Eye Margin, and Jitter Characterization for I/O Power Integrity DESIGNCON 2009 SSO Noise, Eye Margin, and Jitter Characterization for I/O Power Integrity Vishram S. Pandit, Intel Corporation [vishram.s.pandit@intel.com, (916)356-2059] Ashish N. Pardiwala, Intel Corporation

More information

Decoupling Capacitance

Decoupling Capacitance Decoupling Capacitance Nitin Bhardwaj ECE492 Department of Electrical and Computer Engineering Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling

More information

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014 Considerations in High-Speed High Performance Die-Package-Board Co-Design Jenny Jiang Altera Packaging Department October 2014 Why Co-Design? Complex Multi-Layer BGA Package Horizontal and vertical design

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest The Inductance Loop Power Distribution in the Semiconductor Test Interface Jason Mroczkowski Multitest j.mroczkowski@multitest.com Silicon Valley Test Conference 2010 1 Agenda Introduction to Power Delivery

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL POWER INTEGRITY ANALYSIS AND MANAGEMENT I CIRCUITS Raj Nair Donald Bennett PRENTICE HALL Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown

More information

Decoupling capacitor placement

Decoupling capacitor placement Decoupling capacitor placement Covered in this topic: Introduction Which locations need decoupling caps? IC decoupling Capacitor lumped model How to maximize the effectiveness of a decoupling cap Parallel

More information

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines December 2007, ver. 1.0 Introduction Application Note 508 Low-cost FPGAs designed on 90-nm and 65-nm process technologies are made to support

More information

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Youngwon Kim, Chunghyun Ryu, Jongbae Park, and Joungho Kim Terahertz Interconnection and Package Laboratory,

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

Chapter 16 PCB Layout and Stackup

Chapter 16 PCB Layout and Stackup Chapter 16 PCB Layout and Stackup Electromagnetic Compatibility Engineering by Henry W. Ott Foreword The PCB represents the physical implementation of the schematic. The proper design and layout of a printed

More information

DDR4 memory interface: Solving PCB design challenges

DDR4 memory interface: Solving PCB design challenges DDR4 memory interface: Solving PCB design challenges Chang Fei Yee - July 23, 2014 Introduction DDR SDRAM technology has reached its 4th generation. The DDR4 SDRAM interface achieves a maximum data rate

More information

A Solution to Simplify 60A Multiphase Designs By John Lambert & Chris Bull, International Rectifier, USA

A Solution to Simplify 60A Multiphase Designs By John Lambert & Chris Bull, International Rectifier, USA A Solution to Simplify 60A Multiphase Designs By John Lambert & Chris Bull, International Rectifier, USA As presented at PCIM 2001 Today s servers and high-end desktop computer CPUs require peak currents

More information

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity Slide -1 Ten Habits of Highly Successful Board Designers or Design for Speed: A Designer s Survival Guide to Signal Integrity with Dr. Eric Bogatin, Signal Integrity Evangelist, Bogatin Enterprises, www.bethesignal.com

More information

Enabling Parallel Testing at Sort for High Power Products

Enabling Parallel Testing at Sort for High Power Products Enabling Parallel Testing at Sort for High Power Products Abdel Abdelrahman Tim Swettlen 2200 Mission College Blvd. M/S SC2-07 Santa Clara, CA 94536 Abdel.Abdelrahman@intel.com Tim.Swettlen@intel.com Agenda

More information

System Co-Design and Co-Analysis Approach to Implementing the XDR Memory System of the Cell Broadband Engine Processor

System Co-Design and Co-Analysis Approach to Implementing the XDR Memory System of the Cell Broadband Engine Processor System Co-Design and Co-Analysis Approach to Implementing the XDR Memory System of the Cell Broadband Engine Processor Realizing 3.2 Gbps Data Rate per Memory Lane in Low Cost, High Volume Production Wai-Yeung

More information

The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications.

The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications. The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications May 8, 2007 Abstract: The challenge to integrate high-end, build-up organic packaging

More information

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen Si-Interposer Collaboration in IC/PKG/SI Eric Chen 4/Jul/2014 Design Overview U-bump Logic IC Mem IC C4 bump Logic IC Silicon/Organic substrate Interposer Mem IC CAP Package substrate Solder Ball VRM BGA

More information

CORRELATION OF PDN IMPEDANCE WITH JITTER AND VOLTAGE MARGIN IN HIGH SPEED CHANNELS

CORRELATION OF PDN IMPEDANCE WITH JITTER AND VOLTAGE MARGIN IN HIGH SPEED CHANNELS CORRELATION OF PDN IMPEDANCE WITH JITTER AND VOLTAGE MARGIN IN HIGH SPEED CHANNELS A Thesis Presented to The Academic Faculty By Vishal Laddha In Partial Fulfillment of the Requirements for the Degree

More information

Wideband On-die Power Supply Decoupling in High Performance DRAM

Wideband On-die Power Supply Decoupling in High Performance DRAM Wideband On-die Power Supply Decoupling in High Performance DRAM Timothy M. Hollis, Senior Member of the Technical Staff Abstract: An on-die decoupling scheme, enabled by memory array cell technology,

More information

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Progress In Electromagnetics Research Letters, Vol. 74, 117 123, 2018 A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Jun Zhou 1, 2, *, Jiapeng Yang 1, Donglei Zhao 1, and Dongsheng

More information

544 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 3, AUGUST /$ IEEE

544 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 3, AUGUST /$ IEEE 544 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 3, AUGUST 2008 Modeling and Measurement of Interlevel Electromagnetic Coupling and Fringing Effect in a Hierarchical Power Distribution Network

More information

Taking the Mystery out of Signal Integrity

Taking the Mystery out of Signal Integrity Slide - 1 Jan 2002 Taking the Mystery out of Signal Integrity Dr. Eric Bogatin, CTO, GigaTest Labs Signal Integrity Engineering and Training 134 S. Wolfe Rd Sunnyvale, CA 94086 408-524-2700 www.gigatest.com

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

Centro de Electrónica Industrial

Centro de Electrónica Industrial cei@upm.es Centro de Electrónica Industrial Power Electronics Modeling Activities Universidad Politécnica de Madrid Sasp 2 PTModel 3 Powercad 4 PowerSim PFC Boost Average current control Bypass HBCC Voltage

More information

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors Design for MOSIS Educational Program (Research) Transmission-Line-Based, Shared-Media On-Chip Interconnects for Multi-Core Processors Prepared by: Professor Hui Wu, Jianyun Hu, Berkehan Ciftcioglu, Jie

More information

PDS Impact for DDR Low Cost Design

PDS Impact for DDR Low Cost Design PDS Impact for DDR3-1600 Low Cost Design Jack W.C. Lin Sr. AE Manager jackl@cadence.com Aug. g 13 2013 Cadence, OrCAD, Allegro, Sigrity and the Cadence logo are trademarks of Cadence Design Systems, Inc.

More information

PCB layout guidelines. From the IGBT team at IR September 2012

PCB layout guidelines. From the IGBT team at IR September 2012 PCB layout guidelines From the IGBT team at IR September 2012 1 PCB layout and parasitics Parasitics (unwanted L, R, C) have much influence on switching waveforms and losses. The IGBT itself has its own

More information

To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence.

To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab2- Channel Models Objective To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence. Introduction

More information

Signal Integrity Modeling and Simulation for IC/Package Co-Design

Signal Integrity Modeling and Simulation for IC/Package Co-Design Signal Integrity Modeling and Simulation for IC/Package Co-Design Ching-Chao Huang Optimal Corp. October 24, 2004 Why IC and package co-design? The same IC in different packages may not work Package is

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

The Impact Of Signal Jumping Across Multiple Different Reference Planes On Electromagnetic Compatibility

The Impact Of Signal Jumping Across Multiple Different Reference Planes On Electromagnetic Compatibility Copyright by Dr. Andrew David Norte, All Rights Reserved March 18 th, 2012 The Impact Of Signal Jumping Across Multiple Different Reference Planes On Electromagnetic Compatibility David Norte, PhD www.the-signal-and-power-integrity-institute.com

More information

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug JEDEX 2003 Memory Futures (Track 2) High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug Brock J. LaMeres Agilent Technologies Abstract Digital systems are turning out

More information

Systematic Estimation of Worst-Case PDN Noise Target Impedance and Rogue Waves

Systematic Estimation of Worst-Case PDN Noise Target Impedance and Rogue Waves PCB Design 007 QuietPower columns Systematic Estimation of Worst-Case PDN Noise Target Impedance and Rogue Waves Istvan Novak, Oracle, November 2015 In the dark ages of power distribution design, the typical

More information

DesignCon Control of Electromagnetic Radiation from Integrated Circuit Heat sinks. Cristian Tudor, Fidus Systems Inc.

DesignCon Control of Electromagnetic Radiation from Integrated Circuit Heat sinks. Cristian Tudor, Fidus Systems Inc. DesignCon 2009 Control of Electromagnetic Radiation from Integrated Circuit Heat sinks Cristian Tudor, Fidus Systems Inc. Cristian.Tudor@fidus.ca Syed. A. Bokhari, Fidus Systems Inc. Syed.Bokhari@fidus.ca

More information

IC Decoupling and EMI Suppression using X2Y Technology

IC Decoupling and EMI Suppression using X2Y Technology IC Decoupling and EMI Suppression using X2Y Technology Summary Decoupling and EMI suppression of ICs is a complex system level engineering problem complicated by the desire for faster switching gates,

More information

DESIGN TIP DT Managing Transients in Control IC Driven Power Stages 2. PARASITIC ELEMENTS OF THE BRIDGE CIRCUIT 1. CONTROL IC PRODUCT RANGE

DESIGN TIP DT Managing Transients in Control IC Driven Power Stages 2. PARASITIC ELEMENTS OF THE BRIDGE CIRCUIT 1. CONTROL IC PRODUCT RANGE DESIGN TIP DT 97-3 International Rectifier 233 Kansas Street, El Segundo, CA 90245 USA Managing Transients in Control IC Driven Power Stages Topics covered: By Chris Chey and John Parry Control IC Product

More information

Application of Generalized Scattering Matrix for Prediction of Power Supply Noise

Application of Generalized Scattering Matrix for Prediction of Power Supply Noise Application of Generalized Scattering Matrix for Prediction of Power Supply Noise System Level Interconnect Prediction 2010 June 13, 2010 K. Yamanaga (1),K. Masu (2), and T. Sato (3) (1) Murata Manufacturing

More information

The 2-Port Shunt-Through Measurement and the Inherent Ground Loop

The 2-Port Shunt-Through Measurement and the Inherent Ground Loop The Measurement and the Inherent Ground Loop The 2-port shunt-through measurement is the gold standard for measuring milliohm impedances while supporting measurement at very high frequencies (GHz). These

More information

Intro. to PDN Planning PCB Stackup Technology Series

Intro. to PDN Planning PCB Stackup Technology Series Introduction to Power Distribution Network (PDN) Planning Bill Hargin In-Circuit Design b.hargin@icd.com.au 425-301-4425 Intro. to PDN Planning 1. Intro/Overview 2. Bypass/Decoupling Strategy 3. Plane

More information

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model HSD Strategic Intent Provide the industry s premier HSD EDA software. Integration of premier

More information

Measurement and Comparative S21 Performance of Raw and Mounted Decoupling Capacitors

Measurement and Comparative S21 Performance of Raw and Mounted Decoupling Capacitors Measurement and Comparative S21 Performance of Raw and Mounted Decoupling Capacitors Summary Introduction Capacitors All IC power systems require some level of passive decoupling. The ability to accurately

More information

High Speed Characterization Report

High Speed Characterization Report SSW-1XX-22-X-D-VS Mates with TSM-1XX-1-X-DV-X Description: Surface Mount Terminal Strip,.1 [2.54mm] Pitch, 13.59mm (.535 ) Stack Height Samtec, Inc. 25 All Rights Reserved Table of Contents Connector Overview...

More information

Myoung Joon Choi, Vishram S. Pandit Intel Corp.

Myoung Joon Choi, Vishram S. Pandit Intel Corp. Myoung Joon Choi, Vishram S. Pandit Intel Corp. IBIS Summit at DesignCon 2010 Acknowledgements: Woong Hwan Ryu, Joe Salmon Copyright 2010, Intel Corporation. All rights reserved. Need for SI/PI Co-analysis

More information

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation West Coast Luncheon January 15, 2014. PROMEX PROMEX INDUSTRIES INC. MICROELECTRONICS ASSSEMBLY TECHNOLOGIES The QFN Platform as a Chip Packaging Foundation 3075 Oakmead Village Drive Santa Clara CA Ɩ 95051

More information

A Co-design Methodology of Signal Integrity and Power Integrity

A Co-design Methodology of Signal Integrity and Power Integrity DesignCon 2006 A Co-design Methodology of Signal Integrity and Power Integrity Woong Hwan Ryu, Intel Corporation woong.hwan.ryu@intel.com Min Wang, Intel Corporation min.wang@intel.com 1 Abstract As PCB

More information

EMC for Printed Circuit Boards

EMC for Printed Circuit Boards 9 Bracken View, Brocton Stafford, Staffs, UK tel: +44 (0)1785 660 247 fax +44 (0)1785 660 247 email: keith.armstrong@cherryclough.com web: www.cherryclough.com EMC for Printed Circuit Boards Basic and

More information

Freescale Semiconductor, I

Freescale Semiconductor, I Order this document by /D Noise Reduction Techniques for Microcontroller-Based Systems By Imad Kobeissi Introduction With today s advancements in semiconductor technology and the push toward faster microcontroller

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

PDN design and analysis methodology in SI&PI codesign

PDN design and analysis methodology in SI&PI codesign PDN design and analysis methodology in SI&PI codesign www.huawei.com Asian IBIS Summit, November 9, 2010, Shenzhen China Luo Zipeng (luozipeng@huawei.com) Liu Shuyao (liushuyao@huawei.com) HUAWEI TECHNOLOGIES

More information

Measurement Results for a High Throughput MCM

Measurement Results for a High Throughput MCM Measurement Results for a High Throughput MCM Funding: Paul Franzon Toby Schaffer, Alan Glaser, Steve Lipa North Carolina State University paulf@ncsu.edu www.ece.ncsu.edu/erl Outline > Heterogeneous System

More information

LVDS Flow Through Evaluation Boards. LVDS47/48EVK Revision 1.0

LVDS Flow Through Evaluation Boards. LVDS47/48EVK Revision 1.0 LVDS Flow Through Evaluation Boards LVDS47/48EVK Revision 1.0 January 2000 6.0.0 LVDS Flow Through Evaluation Boards 6.1.0 The Flow Through LVDS Evaluation Board The Flow Through LVDS Evaluation Board

More information

Modeling System Signal Integrity Uncertainty Considerations

Modeling System Signal Integrity Uncertainty Considerations white paper Intel FPGA Modeling System Signal Integrity Uncertainty Considerations Authors Ravindra Gali High-Speed I/O Applications Engineering, Intel Corporation Zhi Wong High-Speed I/O Applications

More information

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2 Design Space Exploration for Robust Power Delivery in TSV Based 3-D Systems-on-Chip Suhas M. Satheesh High-Speed Fabrics Team NVIDIA Santa Clara, California 955 ssatheesh@nvidia.com Emre Salman Department

More information

OCXO Layout Guidelines

OCXO Layout Guidelines OCXO Layout Guidelines Application Note: AN2093 2111 Comprehensive Drive Section 1: About this document. 1.1 Introduction The techniques included in this application note will help to ensure successful

More information

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014 Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application Institute of Microelectronics 22 April 2014 Challenges for HD Fan-Out Electrical Design 15-20 mm 7 mm 6 mm SI/PI with multilayer

More information

High Speed Characterization Report

High Speed Characterization Report QTH-030-01-L-D-A Mates with QSH-030-01-L-D-A Description: High Speed Ground Plane Header Board-to-Board, 0.5mm (.0197 ) Pitch, 5mm (.1969 ) Stack Height Samtec, Inc. 2005 All Rights Reserved Table of Contents

More information

DUAL STEPPER MOTOR DRIVER

DUAL STEPPER MOTOR DRIVER DUAL STEPPER MOTOR DRIVER GENERAL DESCRIPTION The is a switch-mode (chopper), constant-current driver with two channels: one for each winding of a two-phase stepper motor. is equipped with a Disable input

More information

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support www.ozeninc.com info@ozeninc.com (408) 732 4665 1210 E Arques Ave St 207 Sunnyvale, CA 94085 Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training &

More information

TEL: FAX: Electrical Specifications, (continued) Parameter Conditions Min. Typ. Max Units Output Low Voltage 2 V Output Rise /

TEL: FAX: Electrical Specifications, (continued) Parameter Conditions Min. Typ. Max Units Output Low Voltage 2 V Output Rise / TEL:055-83396822 FAX:055-8336182 Typical Applications Features The is ideal for: RF ATE Applications Broadband Test & Measurement Serial Data Transmission up to 13 Gbps Digital Logic Systems up to 13 GHz

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

Parallel vs. Serial Inter-plane communication using TSVs

Parallel vs. Serial Inter-plane communication using TSVs Parallel vs. Serial Inter-plane communication using TSVs Somayyeh Rahimian Omam, Yusuf Leblebici and Giovanni De Micheli EPFL Lausanne, Switzerland Abstract 3-D integration is a promising prospect for

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi High Speed Design Issues and Jitter Estimation Techniques Jai Narayan Tripathi (jainarayan.tripathi@st.com) Outline Part 1 High-speed Design Issues Signal Integrity Power Integrity Jitter Power Delivery

More information