SSO Noise, Eye Margin, and Jitter Characterization for I/O Power Integrity

Size: px
Start display at page:

Download "SSO Noise, Eye Margin, and Jitter Characterization for I/O Power Integrity"

Transcription

1 DESIGNCON 2009 SSO Noise, Eye Margin, and Jitter Characterization for I/O Power Integrity Vishram S. Pandit, Intel Corporation (916) ] Ashish N. Pardiwala, Intel Corporation (916) ] Hsiao-ching Chuang, Intel Corporation (408) ] Myoung J. Choi, Intel Corporation (916) ] Md. Ruhul Quddus, Intel Corporation (480) ]

2 Abstract In this paper, we describe the Power Integrity design and characterization for a single ended I/O interface through noise, EYE margin, and jitter measurements. The frequency domain techniques are used for designing the I/O PDN. For PDN characterization, onchip PDN elements are extracted through the VNA measurements. The peak to peak voltage noise is measured on-chip at the driver. The Eye margin reduction and jitter induced due to power noise are characterized versus frequency. The overall signature of the time domain noise, Eye margin reduction and jitter response is well correlated with simulated impedance response. Author s Biography Vishram S. Pandit: Vishram is Power Integrity Engineer at Intel Corporation. He works on developing power delivery designs for high speed interfaces. His focus areas include highspeed system power delivery, on-chip power delivery, and Signal/ Power Integrity codesign. Ashish N. Pardiwala: Ashish is Analog Design Engineer at Intel Corporation. He works on Signal Integrity Engineering characterization for high speed interfaces. His focus areas include System level Signal Integrity characterization, path-finding, and test vehicles for future technologies. Hsiao-ching Chuang: Hsiao-Ching is Analog Circuit Engineer at Intel Corporation. She works on custom logic circuits, timing analysis and specification definition for high-speed interfaces. Myoung Joon Choi: Myoung "Joon" Choi is a signal and power integrity engineer at Intel Corporation, working on signal integrity, system analysis and high speed channel optimization, and SI-PI co-integrity. His research interests are modeling, new simulation algorithms, and high speed signal-power integrity. He has received the M.S. and PhD degree from the electrical and computer engineering at the University of Illinois at Urbana- Champaign (UIUC) in 2001 and 2004 respectively and received the B.S. degree from Korea University, Seoul, Korea, in Md. Ruhul Quddus: Ruhul is Power Integrity (power delivery network) engineer at Intel Corporation. He is responsible for computer system products power delivery network design. His focus areas include developing statistical PD methodology and jitter-based target to design PDN.

3 1.0 Introduction The Power Delivery Network (PDN) for a single ended Input/ Output (I/O) interface is designed. Figure 1 shows a simplified diagram for a single ended I/O interface. It is a push-pull driver with Center Tap Termination (CTT) at the receiver end. VCC Driver A Receiver R2 R1 B D R1 Z0 R2 C VSS Figure 1 Simplified Diagram for an I/O Interface There are different nodes indicated in the diagram: A is the driver power node, C is the driver ground node, B is the driver side I/O node, and D is receiver side I/O node. These will be used in simulations and measurements in the following sections. Overall impedance response from the chip is simulated and optimized based on the design target. It is essential to characterize the effect of the PDN in terms of noise and timing impact. Based on the bus activity, different frequencies are activated in the PDN. By varying the data rates and patterns, frequency response of the noise and jitter is obtained. 2.0 I/O PDN Design 2.1 Power Delivery Network Figure 2 shows the PDN for the I/O interfaces.

4 I/O On- Chip PDN PKG PDN BRD PDN VRM Figure 2 Power Delivery Network for I/O Motherboard has a DC/ DC converter or a Voltage Regulator Module (VRM). There are some bulk capacitors which are effective in low frequencies. The motherboard stackup determines the power to ground planar capacitance. The silicon chip is typically designed with a mult-layer Grid Array (GA) package. It is mounted on the motherboard, and near the chip there are some edge capacitors. The package provides a very tight coupling between power and ground. There are some low Equivalent series inductance (ESL) package capacitors which provide smaller loop inductance. 2.2 PDN Design Target Typically, PDN is designed using Frequency Domain techniques. Self Impedance or Z11, is the primary design criterion. For the I/O PDN the design target for the PDN impedance is based on the Equation 1: Equation 1: V ( Δv) (1) Z = Imax Imin where V is the nominal voltage of the rail, v is the required tolerance, and Imax-Imin is the di/dt current. The I/O interface in consideration is a single ended system with Center Tap Termination (CTT). The currents in the PDN are determined as described in reference [2]. Using the di/dt currents and the required tolerance for the given range, the self impedance or Z11 target can be calculated. For the I/O interface, overall current in the PDN when the entire bus is switching, needs to be accounted for. The Z11 obtained with this technique is the self impedance for the PDN looking in the chip. For the given interface, the required Z11 comes out to be about 200 mohms. It is to be noted that, this Z11 is high compared to earlier published literature for the core PDN (3). The impedance target depends on the di/dt and the required tolerance. 2.2 PDN Analysis and Optimization First, the package and board PDN are modeled with planar 3D electromagnetic solver, without any capacitors. Only the capacitors related to voltage regulator module are mounted. The S parameters are computed over a broad frequency range up to 6GHz. The self impedance Z11 at the package bump is shown in Figure 3. It shows much higher impedance than the required impedance.

5 3E2 1E2 Mag[Z(1,1)] Ω mag(z(6,6)) 1E1 1 1E-1 1E-2 1E7 1E8 1E9 6E9 freq, Hz Figure 3 Z11 with Motherboard and Package without the capacitors Different capacitors are evaluated for the decoupling. Bulk capacitors are used for low frequency bypassing. Its effective range is up to a few MHz. The edge capacitors are placed near the driver, and its effective range is up to a few 10s of MHz. The package capacitors are reverse geometry capacitors and they have very low ESL. The effective frequency goes up to 100s of MHz. Above that frequency only the on-chip capacitor Cdie is effective (4). Once the effective frequency ranges for different capacitive elements is known, they are placed and the number of those are optimized. First some bulk capacitors are placed on the motherboard and overall self impedance Z11 looking from the BGA side is plotted as shown in Figure 4A. Then the edge capacitors are added near the driver and Z11 is analyzed as shown in Figure 4B. Mag[Z(1,1)] mag(z(7,7)) Ω A] B] 5E1 1E1 1 1E-1 1E-2 1E3 1E4 1E5 1E6 1E7 1E8 5E8 Mag[Z(1,1)] mag(z(8,8)) Ω 1E1 1 1E-1 1E-2 1E7 1E8 1E9 6E9 freq, Hz freq, H z Figure 4 Z11 with MB + PKG A] Bulk Caps, B] Bulk Caps + Edge Caps The number of bulk capacitors and edge capacitors are optimized based on the desired response. Compared to no motherboard capacitor response, bulk capacitors improve the lower frequency response, and edge capacitors improve the higher frequency response. However, the impedance value is still much higher than the target impedance. The motherboard components are designed in such a way that the target impedance is met

6 well over 50MHz or so. Above that frequency only the package decoupling and on-chip decoupling components are effective (4). The package capacitors are placed on the package and optimized to get the broadband Z11 response. The Z11 response is simulated at the package bump side as shown in Figure 5A. With the package capacitors, the target impedance is met up to a few hundreds of MHz frequency. Above that frequency only the on-chip capacitor will be effective. With the on-chip capacitor, the broadband response is obtained which complies with the impedance targets over wide frequency range. mag(z(9,9)) Mag[Z(1,1)] Ω A] B] 1E1 1 1E-1 1E-2 1E-3 1E7 1E8 freq, Hz 1E9 6E9 Mag[Z(1,1)] Ω mag(z(10,10)) 3E-1 1E-1 1E-2 1E7 1E8 freq, Hz 1E9 6E9 Figure 5 Z11 with A] MB Caps + PKG Caps, B]MB Caps + PKG Caps +Chip caps The on-chip model is a single node PDN model with Cchip and its parasitic Rchip. The bumps are connected to the on-chip capacitor through the power grid parasitics (Lgrid, Rgrid). Figure 5B shows the simulated Z11 response at the power and ground nodes at the chip. It has a resonant peak at about 235 MHz. At this frequency it exhibits highest impedance. Any switching pattern which has this prominent frequency will exhibit higher noise. 2.3 Primary FD Parameters for TD Performance Self Impedance or Z11 In the earlier section the self impedance or Z11 of the PDN is analyzed and PDN is optimized to meet the given Z11 target. This Z11 is at the chip location. The self impedance Z11 is plotted again with the linear scale in Figure 6. With reference to Figure 1, the self impedance is between nodes A to C. When there is a current fluctuation in the PDN the noise is generated. Noise should follow a similar signature as that of Z11, when frequency of the noise is varied. The frequency of the noise can be varied by switching different patterns and data rates. In the following sections, the time domain noise at the chip location is compared against this Z11 and signature is verified.

7 0.20 Mag[Z(1,1)] mag(z(12,12)) Ω freq, GHz Figure 6 Simulated Self Impedance at the Chip Location Power to Signal Transfer Impedance Second important parameter in the PDN design is PD to Signal coupling at the chip. It is the transfer impedance from power net to signal net at the chip as shown in Figure Mag[Z(1,2)] Ω mag(z(2,12)) freq, GHz Figure 7 Simulated Power to Signal Coupling at the Chip With reference to Figure 1 the transfer impedance is from nodes A to B. When the driver is switching there is an effective resistance Ron from power net to signal net. For this simulation, the Ron and far end On-Die Termination (ODT) are used. The transfer impedance indicates the power domain to signal coupling at the chip, when driver is switching. It shows a peak at about 220 MHz. It follows a similar curve as the self impedance. The coupled noise from power to signal at the chip, affects the timing of the signals. Therefore, the jitter on the signal nets due to Power noise at the chip will follow the similar signature as shown in Figure 7, when the frequency of the noise is varied. Later on in this paper, we vary the frequency of the noise, and measure the jitter impact which corresponds to the signature of the Power to Signal coupling.

8 2.3.1 SSO Impact in Frequency Domain Simultaneously Switching Output (SSO) occurs when a number of buffers are switching simultaneously, producing noise and consequently the timing impact. When a buffer A under consideration is switching, it produces noise in the power domain. When its neighboring buffers are also switching, the noise due to them, affect the buffer A. Overall power noise for buffer A increases due to other neighbors. The extent in which the neighbors are affecting the buffer A can be determined using frequency domain design techniques. In earlier section, for analyzing Z11 a simple single node chip PDN model has been used. For determining the SSO impact in frequency domain, distributed chip PDN model is used. Previously, a 2D TLM model has been used and validated for accuracy (5-7). A similar model is used for the SSO analysis, based on the chip layout. This distributed chip model is combined with the package model and the motherboard model for system level analysis. In the design under consideration, there are 8 DQ Modules DQ0 Module through DQ7 Module. It has distributed chip side power ports per module locations. The victim module for SSO is DQ4 Module or DQ4M. The impact of neighboring modules is examined by plotting transfer impedance from DQx power node to DQ4M power node, as shown in Figure 8. DQ4M power node is corresponding to 1 byte whereas power nodes of all other modules correspond to 2 bytes. This is similar to correlation setup as described in section From DQ5M power node to DQ4M power node there is a significant coupling. Next, the plot shows coupling from DQ7M power node to DQ4M power node. This is lower than that for DQ5M. The third curve in the plot shows coupling from DQ3M power node to DQ4M power node. It is very low indicating that the noise on the power node of DQ3M will not impact much the DQ4M power node. Ω 2E0 1 Transfer Impedance from Neighboring Modules mag(dqm3to4) mag(dqm7to4) mag(z12) mag(dqm5to4) 1E-1 1E-2 1E freq, GHz Z(DQM4, DQM5) Z(DQM4, DQM7) Z(DQM4, DQM3) Figure 8 Coupling from Power Nets of Other Modules to DQ4-Module

9 For analyzing SSO impact on DQ4M, from neighboring modules, the transfer impedances from neighboring modules need to be considered. This is an additive impact so the total power impedance for the DQ4M is a summation of the self impedance and transfer impedances from the other modules. As an example, the total power node impedance for DQ4M taking into account the DQ4M and DQ5M will be: Equation 2: Ztot: DQ4M (DQ4M-5M) = Z11 (DQ4M) + Z12 (DQ4M-DQ5M) The Equation 2 shows the Z for DQ4M considering SSO of DQ4M and DQ5M. Further, taking into account the DQ6M the total Z for DQ4M power node becomes Equation 3 : Ztot: DQ4M (DQ4M-6M) = Z11 (DQ4M) + Z12 (DQ4M-DQ5M) + Z12 (DQ4M-DQ6M) The Equation 3 above shows the Z for DQ4M considering SSO of DQ4M, DQ5M, and DQ6M The above procedure is repeated for all the modules. With this, the total SSO impact from the neighboring modules can be analyzed and plotted, as shown in Figure 9. The frequency of the Z11 and Z1x in the curve is 200MHz. After certain distance, the SSO impact gets saturated as shown in Figure 9. Total Z simulated at DQ Module 4 (DQM4) Total Z = Z11 + Sum (Z1x) Ohms DQM4 DQM4 DQM5 DQM4 DQM6 DQM4 DQM7 DQM3 DQM7 DQM2 DQM7 DQM1 DQM7 DQM0 DQM7 DQ Module Switching Locations Figure 9 SSO Impact and Saturation

10 From the Figure 9, the total Z for DQ4M increases with two modules (DQ4M-5M), three modules (DQ4M-6M) and four modules (DQ4M-7M). However, DQ3M through DQ0M do not have much impact in terms of the SSO. This is dependent on the chip layout and the placement of on-chip PD elements. Therefore beyond DQ3M the SSO is getting saturated. Once the frequency domain SSO impact is obtained, changes can be made in the on-chip Power Delivery design in order to optimize the effect. This frequency domain characterization of the SSO is later on validated by turning on the corresponding neighboring modules and measuring the noise at DQ4M, with 200MHz switching pattern. 3.0 I/O PDN Characterization 3.1 Frequency Domain Characterization I/O PDN characterization is done in frequency domain and in time domain. Frequency domain PCB PDN VNA characterization details are described in [8]. Two port network VNA measurements are used for PDN measurements. It is usually fairly straightforward to characterize the PCB, and package PDN and corresponding components. However, it is very difficult to correlate with the on-chip Z11 response. The VNA probing points on the chip are not available. Therefore, VNA probing is done on the package BGA locations. The package capacitors are removed so as to get effect from the on-chip capacitors. Z11 is open circuit response as shown in Figure 10. 5E1 1E1 mag(z(3,3)) mag(zin_dut) 1 1E-1 1E5 1E6 1E7 1E8 5E8 Measurement freq, Hz Equivalent Model Figure 10 VNA Measurement for determining On-chip PD Elements The S parameters are fit into a lumped model. The extracted equivalent PDN model is in the RLGC format. From the measured data the power delivery components are extracted.

11 Figure 11 shows the equivalent model for the measurements. Cdie is the intentional chip capacitor with parasitic resistance Rdie. Rleak is the leakage resistor. Rs is a combination on equivalent package power domain resistance and equivalent power grid resistance. Ls is a combination of equivalent power grid inductance and package power inductance. This equivalent model can be used up to a few GHz, above which a more complex model will be required. Ls Buffer VCC Rs Bump Cdie Rleak Rdie VSS Figure 11 Equivalent Power Delivery Model for the Chip and Package 3.2 Time Domain Characterization The on-chip Z11 response is an open circuit response. It does not show a system level response looking from the chip. Time domain characterization enables to determine the noise and jitter. With varying the data rate and patterns, the frequency response of noise and jitter can be determined On-chip Noise Measurements at the Driver For probing the noise at the die of the drivers, special structure is designed to have a measuring probe connected to the lower metal layers of the silicon. The DQ bus activity then programmed with different data rates and different data patterns, with all DQ bits on the interface simultaneously toggling. The combination of data pattern and data rates setup will excite different frequencies of switching noise at the driver power supply. For example, at 1066MTs, 1010 data pattern will have dominant simultaneous switching noise (SSN) tone at 553MHz, 1100 data pattern will have dominant SSN noise tone at 266MHz. Figure 12 shows a pico-probing plot for on-chip noise measurement, when bus is operated at 1066Mbps with 1100 data pattern.

12 Figure 12 Screen Capture of Pico-probing Measurements The FFT response shows 266MHz peak pertaining to 1100 data rate. It also shows a 533MHz component pertaining to the strobe frequency. These measurements are repeated with different data rate and data pattern settings. The peak to peak SSN noise is measured and plotted against the SSN frequency as shown in Figure 13. The SSN noise is peaking at about 240 MHz and it shows a very similar signature to the frequency domain self impedance response as in Figure 6. Pico-probing result noise amplitude (p2p, mv) SSN noise frequency (MHz) Figure 13 Measured On-Chip SSO Noise Amplitude vs. Frequency Noise Measurements at the Receiver The power noise due to SSN at the driver can be measured by another way. When all the bits in an interface at the driver are switching, the on-chip SSN is coupled to the data bits through the Ron and terminations. This alternate method is implemented by selecting two nearby DQ bits as probes and hold one data bit low (DQL) while another data bit is high (DQH). The neighboring data bits to DQH, DQL programmed low to minimize crosstalk effect in the channel (the measurement is done at the receive end). All the other are switched simultaneously and generate SSN. The bit which is held high reflects the power fluctuations, and the one which is held low

13 reflects the ground fluctuations at the driver. Overall power to ground noise is obtained by subtracting the two. Referring back to Figure 1, we would like to measure noise at node D and determine the noise from nodes A to C. When DQ is held high, the noise at node A appears at D, and for DQ low situation, noise at node C appears at D. Since we are using two different bits, 1 for DQH and the other for DQL, we need to make sure that they have similar noise profiles on power and/ or ground. Figure 14 Calibration method Figure 14 shows the skew between DQH and DQL bits. By holding both DQH and DQL bits under test to drive 0 while other data bits toggling at SSN frequency, we observed the skew between the two ground noise waveform and we should phase align the two on the scope before starting DQH-DQL measurement. Figure 15 DQH-DQL Measured Noise with 1010 Data Pattern One example of DQH-DQL measured on-die noise is shown on Figure15 with SSN data pattern programmed to 1010 at 1066MTs, 533MHz AC noise is observed through DQH-DQL measurement. The power-ground noise amplitude at the driver is determined by calibrating the channel loss and resistor divider network (On Die

14 Terminations, Ron for driver, and series resistance on the data line) from the measured result, as shown in Figure 16. Referring back to Figure 1, the Ron, AC impedance of the transmission line, and the termination schemes are simulated to establish the transfer function from A to D. m1 freq= 120.5MHz mag(scaling_factor)= m2 freq= 526.0MHz mag(scaling_factor)=0.317 mag(scaling_factor) m1 m freq, MHz Figure 16 Transfer Function for Determining On-chip Noise After the noise at point D is measured with DQH-DQL method, with applying the above transfer function, the noise at point A is projected. With this DQH-DQL approach, the noise is measured at different data rates and frequencies. Figure 17 shows graph similar to pico-probing noise. DQH-DQL result noise amplitude (p2p, mv) SSN noise frequency (MHz) Figure 17 Projected On-chip Noise at Driver with DQH-DQL Approach As seen in Figure 17, the projected on-chip noise from the receiver side measurements show a very similar curve to Z11 as simulated in Figure 6.

15 Then, we examine the impact from the other switching bits. Each DQ module has 16 bits. For a particular case for DQM4, 8 bits are switching and remaining 8 bits are held 0. Out of those held 0 one is DQH and the other is DQL. This way it minimizes the crosstalk impact for the noise measurement. Then, the bits in the neighboring modules are switched at the same frequency/ data rate. Figure 18 shows the accumulated SSN noise amplitude at DQM4, at 200MHz switching pattern. Supply noise probed at DQ Module 4 (DQM4) (8 DQ bits switching effect from DQM4, 16 DQ bits switching effect from all the other modules ) noise amplitude (p2p,mv) DQM4 DQM4 DQM5 DQM4 DQM5 DQM6 DQM4 DQM7 DQM3 DQM7 DQM2 DQM7 DQM1 DQM7 DQM0 DQM7 DQ bits switching location (SSN source) Figure 18 SSN Impact of the Neighboring Modules First data point is taken when only Module 4 is switching, then Modules 4-5 switching, then Modules 4, 5, 6 switching, and so on. The SSN noise amplitude saturates after enabling the first few neighboring DQ modules. Comparing to Figure 9, SSO impact in frequency domain, the curve above in Figure 18 shows a very similar saturation pattern, and also relative amplitude for Ztotal and SSO time domain noise are comparable Eye Diagram Measurements at the Receiver Measurements Setup Fully function system including Driver, Motherboard, and Receiver components is used for Eye Diagram Measurements. A special software is used to enable particular data rate, and bit pattern. Agilent 12GHz bandwidth scope is used with 40GS/s sample rates. There are two types of measurements: one is DQS or strobe jitter due to SSO and the other is timing window reduction due to SSO DQS Jitter due to SSO

16 First, we determine the strobe line jitter due to SSO. Victim bit is toggling 1010 pattern and remaining 7 bits in that byte lane are quite. There is no crosstalk as neighboring bits are not toggling. The DQS strobe line jitter is measured with respect to the Clock. Then, the SSO is introduced by toggling remaining bits (except the very close-by bits that cause the crosstalk) in other DQ modules. Figure 19 shows the DQS7 jitter measurements without the SSO noise, victim DQ bit is toggling with 1010 pattern. Figure 19 DQS Jitter without the SSO Noise Figure 20 shows the DQS7 jitter measurements with the SSO noise, DQ59 toggle 1010 and remaining DQ modules toggle 1100 pattern.

17 Figure 20 DQS Jitter With the SSO Noise for 1100 pattern This experiment is repeated with different data patterns 1010, 1100, and in order to get the frequency response. Then, the jitter with the SSO case is subtracted from that without the SSO case. This delta jitter is attributed to the SSO effects. Figure 21 shows the jitter induced due to power noise for the strobe lines. DQS Jitter due to SSO Delta Jitter(ps) Frequency (MHz) DQS Figure 21 Frequency Response of the DQS Jitter

18 Timing Window Reduction due to SSO With the same setup, the setup/ hold time at the receiver is measured. In this case, instead of holding the data bits high or low, the victim bit is toggling 1010 with maximum data rate. The neighboring bits to the victim bit are masked to eliminate crosstalk effects. All the other Modules on the interface are kept simultaneously switching. The setup/ hold times are measured on the victim bit with Eye Diagram measurements. Similar to the noise measurements, the pattern and data rates for the other toggling bits varied. Figure 22 shows the DQ-DQS Setup/Hold time measurements without the SSO noise, victim DQ bit only toggle 1010 pattern Figure 22 Setup/ Hold Time without the SSO Noise Figure 23 shows the DQ-DQS Setup/Hold time measurements with the SSO noise, Victim DQ bit toggle 1010 pattern and other DQ modules toggle 1100 pattern

19 Figure 23 Setup/ Hold Time with SSO Noise for 1100 Pattern The window margin difference for without SSO noise and with SSO noise is plotted as shown in Figure 24. Margin window (Withough SSO-With SSO) Window Reduction (ps) Window Frequency (MHz) Figure 24 Timing Window Reduction due to SSO For this plot also, the data rate is varied from 1067Mbps to 800Mbps. Different patterns such as 1010, 1100, and are used. Comparing Figure 7 with Figure 23-24, it is observed that the frequency response of Eye margin reduction and jitter

20 closely match with the transfer impedance (PD to signal coupling) response at the driver chip. 4.0 Conclusion PCB, package and on-chip PDN need to be analyzed for the I/O PDN design. Different decoupling elements are placed in the PDN to achieve the impedance design targets. The I/O PDN is designed in frequency domain and characterized in time domain. 1] Self impedance and Noise: On-chip pico-probing is performed and the time domain peak to peak noise is obtained. The time domain peak to peak noise value is plotted against the frequency based on the bus operation pattern. It shows similar signature to the simulated PDN Z11 response. Alternatively, the driver side chip level noise is measured at the receiver, with holding one bit high (DQH) and the other bit low (DQL). The projected chip level noise follows the Z11 signature at the chip. 2] SSO effects: The SSO impact and saturation is simulated in frequency domain by adding the transfer impedances from neighboring power nodes. Then, it is verified in time domain by switching individual neighboring modules and measuring SSO noise at the victim module with DQH-DQL method. 3] PD to signal coupling and Timing: Power to signal coupling is determined in frequency domain analysis. The Eye margin reduction due to SSO and jitter due to SSO is plotted against the frequency by varying the data rates and the patterns. The frequency response of SSO timing impact closely matches with the simulated transfer impedance (PD to signal coupling) profiles. 5.0 Future Work In this paper, we have characterized the on-chip power noise, and its impact on the system timing. The data patterns used are systematic in nature simultaneously switching at specific frequencies. The crosstalk effects are eliminated by switching off the neighboring bits. The channel resonance effects are not considered. This may be the worst case power integrity condition, however, other conditions such as channel resonance, worst case crosstalk and worst case ISI conditions need to be considered. Probability of these conditions occurring at the same time need to be determined, for overall system response. Acknowledgements: Authors would like to thank Joe Salmon, Woong Hwan Ryu, Julius Delino, and Erich Heinemann.

21 References [1] L. Smith, Frequency Domain Target Impedance Method for Bypass Capacitor Selection for Power Distribution Systems, chapter 7, Power Distribution Network Design Methodologies, IEC publication [2] V. Pandit, M.J. Choi, Power Integrity for Single Ended System, IBIS summit, June [3] Seong-Gem Park, JiSeong Kim, Jong-Gwan Yook and Han-Kyu Park, Multilayer Power Delivery Network Design for High-speed Microprocessor System, 2003 Electronic Components and Technology Conference [4] M. Swaminathan, Power Integrity Modeling and Design for Semiconductor Systems, Chapter 1, Prentice Hall, 2007 [5] Vishram S. Pandit, Woong Hwan Ryu, Sankalp Ramanujam, Kirupa Pushparaj, Farag Fattouh, Simulation and Characterization of GHz on-chip Power Delivery Network, DesignCon 2008 [6] Vishram S. Pandit, Woong Hwan Ryu, Multi GHz Modeling and Characterization of On-chip Power Delivery Network, Poster Presentation, EPEP 08. [7] Vishram S. Pandit, M. J. Choi, Woong Hwan Ryu, Power Integrity for I/O Interfaces, Tutorial, EPEP, [8] I. Novak, Measuring MilliOhms and PicoHenries in Power Distribution Network, Designcon 2000, USA.

Vishram S. Pandit, Intel Corporation (916) ]

Vishram S. Pandit, Intel Corporation (916) ] DesignCon 2008 Simulation and Characterization of GHz On-Chip Power Delivery Network (PDN) Vishram S. Pandit, Intel Corporation [vishram.s.pandit@intel.com, (916)356-2059] Woong Hwan Ryu, Intel Corporation

More information

Myoung Joon Choi, Vishram S. Pandit Intel Corp.

Myoung Joon Choi, Vishram S. Pandit Intel Corp. Myoung Joon Choi, Vishram S. Pandit Intel Corp. IBIS Summit at DesignCon 2010 Acknowledgements: Woong Hwan Ryu, Joe Salmon Copyright 2010, Intel Corporation. All rights reserved. Need for SI/PI Co-analysis

More information

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design DesignCon 2009 Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design Hsing-Chou Hsu, VIA Technologies jimmyhsu@via.com.tw Jack Lin, Sigrity Inc.

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

Characterization of Alternate Power Distribution Methods for 3D Integration

Characterization of Alternate Power Distribution Methods for 3D Integration Characterization of Alternate Power Distribution Methods for 3D Integration David C. Zhang, Madhavan Swaminathan, David Keezer and Satyanarayana Telikepalli School of Electrical and Computer Engineering,

More information

A Co-design Methodology of Signal Integrity and Power Integrity

A Co-design Methodology of Signal Integrity and Power Integrity DesignCon 2006 A Co-design Methodology of Signal Integrity and Power Integrity Woong Hwan Ryu, Intel Corporation woong.hwan.ryu@intel.com Min Wang, Intel Corporation min.wang@intel.com 1 Abstract As PCB

More information

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Presented by Chad Smutzer Mayo Clinic Special Purpose Processor Development

More information

PDN design and analysis methodology in SI&PI codesign

PDN design and analysis methodology in SI&PI codesign PDN design and analysis methodology in SI&PI codesign www.huawei.com Asian IBIS Summit, November 9, 2010, Shenzhen China Luo Zipeng (luozipeng@huawei.com) Liu Shuyao (liushuyao@huawei.com) HUAWEI TECHNOLOGIES

More information

Adding On-Chip Capacitance in IBIS Format for SSO Simulation

Adding On-Chip Capacitance in IBIS Format for SSO Simulation Adding On-Chip Capacitance in IBIS Format for SSO Simulation Raymond Y. Chen SIGRITY, Inc. Jan. 2004 DesignCon 2004 - IBIS Summit Presentation Agenda 1. Is IBIS good for SSO simulation 2. SSO simulation

More information

Automotive PCB SI and PI analysis

Automotive PCB SI and PI analysis Automotive PCB SI and PI analysis SI PI Analysis Signal Integrity S-Parameter Timing analysis Eye diagram Power Integrity Loop / Partial inductance DC IR-Drop AC PDN Impedance Power Aware SI Signal Integrity

More information

System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing

System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing Larry Smith, Shishuang Sun, Peter Boyle, Bozidar Krsnik Altera Corp. Abstract-Power

More information

PDS Impact for DDR Low Cost Design

PDS Impact for DDR Low Cost Design PDS Impact for DDR3-1600 Low Cost Design Jack W.C. Lin Sr. AE Manager jackl@cadence.com Aug. g 13 2013 Cadence, OrCAD, Allegro, Sigrity and the Cadence logo are trademarks of Cadence Design Systems, Inc.

More information

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces DesignCon 2010 On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces Ralf Schmitt, Rambus Inc. [Email: rschmitt@rambus.com] Hai Lan, Rambus Inc. Ling Yang, Rambus Inc. Abstract

More information

Optimizing On Die Decap in a System at Early Stage of Design Cycle

Optimizing On Die Decap in a System at Early Stage of Design Cycle Optimizing On Die Decap in a System at Early Stage of Design Cycle Naresh Dhamija Pramod Parameswaran Sarika Jain Makeshwar Kothandaraman Praveen Soora Disclaimer: The scope of approach presented is limited

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Transceiver Portfolio Workshops 2009 Question What is Your PDN Design Methodology? Easy Complex Historical Full SPICE simulation

More information

Basic Concepts C HAPTER 1

Basic Concepts C HAPTER 1 C HAPTER 1 Basic Concepts Power delivery is a major challenge in present-day systems. This challenge is expected to increase in the next decade as systems become smaller and new materials are introduced

More information

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi High Speed Design Issues and Jitter Estimation Techniques Jai Narayan Tripathi (jainarayan.tripathi@st.com) Outline Part 1 High-speed Design Issues Signal Integrity Power Integrity Jitter Power Delivery

More information

Power Plane and Decoupling Optimization. Isaac Waldron

Power Plane and Decoupling Optimization. Isaac Waldron Power Plane and Decoupling Optimization p Isaac Waldron Overview Frequency- and time-domain power distribution system specifications Decoupling design example Bare board Added d capacitors Buried Capacitance

More information

Wideband On-die Power Supply Decoupling in High Performance DRAM

Wideband On-die Power Supply Decoupling in High Performance DRAM Wideband On-die Power Supply Decoupling in High Performance DRAM Timothy M. Hollis, Senior Member of the Technical Staff Abstract: An on-die decoupling scheme, enabled by memory array cell technology,

More information

Taking the Mystery out of Signal Integrity

Taking the Mystery out of Signal Integrity Slide - 1 Jan 2002 Taking the Mystery out of Signal Integrity Dr. Eric Bogatin, CTO, GigaTest Labs Signal Integrity Engineering and Training 134 S. Wolfe Rd Sunnyvale, CA 94086 408-524-2700 www.gigatest.com

More information

Power integrity is more than decoupling capacitors The Power Integrity Ecosystem. Keysight HSD Seminar Mastering SI & PI Design

Power integrity is more than decoupling capacitors The Power Integrity Ecosystem. Keysight HSD Seminar Mastering SI & PI Design Power integrity is more than decoupling capacitors The Power Integrity Ecosystem Keysight HSD Seminar Mastering SI & PI Design Signal Integrity Power Integrity SI and PI Eco-System Keysight Technologies

More information

System Co-Design and Co-Analysis Approach to Implementing the XDR Memory System of the Cell Broadband Engine Processor

System Co-Design and Co-Analysis Approach to Implementing the XDR Memory System of the Cell Broadband Engine Processor System Co-Design and Co-Analysis Approach to Implementing the XDR Memory System of the Cell Broadband Engine Processor Realizing 3.2 Gbps Data Rate per Memory Lane in Low Cost, High Volume Production Wai-Yeung

More information

Modeling System Signal Integrity Uncertainty Considerations

Modeling System Signal Integrity Uncertainty Considerations white paper Intel FPGA Modeling System Signal Integrity Uncertainty Considerations Authors Ravindra Gali High-Speed I/O Applications Engineering, Intel Corporation Zhi Wong High-Speed I/O Applications

More information

How the Braid Impedance of Instrumentation Cables Impact PI and SI Measurements

How the Braid Impedance of Instrumentation Cables Impact PI and SI Measurements How the Braid Impedance of Instrumentation Cables Impact PI and SI Measurements Istvan Novak (*), Jim Nadolny (*), Gary Biddle (*), Ethan Koether (**), Brandon Wong (*) (*) Samtec, (**) Oracle This session

More information

DDR4 memory interface: Solving PCB design challenges

DDR4 memory interface: Solving PCB design challenges DDR4 memory interface: Solving PCB design challenges Chang Fei Yee - July 23, 2014 Introduction DDR SDRAM technology has reached its 4th generation. The DDR4 SDRAM interface achieves a maximum data rate

More information

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL POWER INTEGRITY ANALYSIS AND MANAGEMENT I CIRCUITS Raj Nair Donald Bennett PRENTICE HALL Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Di/dt Mitigation Method in Power Delivery Design & Analysis

Di/dt Mitigation Method in Power Delivery Design & Analysis Di/dt Mitigation Method in Power Delivery Design & Analysis Delino Julius Thao Pham Fattouh Farag DAC 2009, San Francisco July 27, 2009 Outlines Introduction Background di/dt Mitigation Modeling di/dt

More information

An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation

An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation Also presented at the January 31, 2005 IBIS Summit SIGRITY, INC. Sam Chitwood Raymond Y. Chen Jiayuan Fang March 2005

More information

Reducing Noise in Power Distribution Networks On time and In Budget

Reducing Noise in Power Distribution Networks On time and In Budget TITLE Reducing Noise in Power Distribution Networks On time and In Budget Steve Sandler, (Picotest) Image Reducing Noise in Power Distribution Networks On time and In Budget Steve Sandler, (Picotest) So,

More information

TITLE. Image. Topic: Topic: Hee-Soo o LEE, Keysight Technologies Cindy Cui, Keysight Technologies

TITLE. Image. Topic: Topic: Hee-Soo o LEE, Keysight Technologies Cindy Cui, Keysight Technologies TITLE Topic: Accurate o Nam elementum Statistical-Based commodo mattis. Pellentesque DDR4 Margin Estimation using malesuada SSN blandit Induced euismod. Jitter Model Topic: Hee-Soo o LEE, Keysight Technologies

More information

Signal Integrity Modeling and Simulation for IC/Package Co-Design

Signal Integrity Modeling and Simulation for IC/Package Co-Design Signal Integrity Modeling and Simulation for IC/Package Co-Design Ching-Chao Huang Optimal Corp. October 24, 2004 Why IC and package co-design? The same IC in different packages may not work Package is

More information

TITLE. Capturing (LP)DDR4 Interface PSIJ and RJ Performance. Image. Topic: Topic: John Ellis, Synopsys, Inc. Topic: malesuada blandit euismod.

TITLE. Capturing (LP)DDR4 Interface PSIJ and RJ Performance. Image. Topic: Topic: John Ellis, Synopsys, Inc. Topic: malesuada blandit euismod. TITLE Topic: o Nam elementum commodo mattis. Pellentesque Capturing (LP)DDR4 Interface PSIJ and RJ Performance malesuada blandit euismod. Topic: John Ellis, Synopsys, Inc. o o Nam elementum commodo mattis.

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY Rémy FERNANDES Lead Application Engineer ANSYS 1 2018 ANSYS, Inc. February 2, 2018 ANSYS ANSYS - Engineering simulation software leader Our industry reach

More information

Intro. to PDN Planning PCB Stackup Technology Series

Intro. to PDN Planning PCB Stackup Technology Series Introduction to Power Distribution Network (PDN) Planning Bill Hargin In-Circuit Design b.hargin@icd.com.au 425-301-4425 Intro. to PDN Planning 1. Intro/Overview 2. Bypass/Decoupling Strategy 3. Plane

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

Implementation of Power Transmission Lines to Field Programmable Gate Array ICs for Managing Signal and Power Integrity

Implementation of Power Transmission Lines to Field Programmable Gate Array ICs for Managing Signal and Power Integrity Implementation of Power Transmission Lines to Field Programmable Gate Array ICs for Managing Signal and Power Integrity Sang Kyu Kim, Satyanarayana Telikepalli, Sung Joo Park, Madhavan Swaminathan and

More information

Decoupling capacitor placement

Decoupling capacitor placement Decoupling capacitor placement Covered in this topic: Introduction Which locations need decoupling caps? IC decoupling Capacitor lumped model How to maximize the effectiveness of a decoupling cap Parallel

More information

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug JEDEX 2003 Memory Futures (Track 2) High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug Brock J. LaMeres Agilent Technologies Abstract Digital systems are turning out

More information

Asian IBIS Summit, Tokyo, Japan

Asian IBIS Summit, Tokyo, Japan Asian IBIS Summit, Tokyo, Japan Satoshi Nakamizo / 中溝哲士 12 Nov. 2018 Keysight Technologies Japan K.K. T h e d a t a e y e i s c l o s i n g 1600 3200 6400 Memory channel BW limited Rj improving slowly

More information

DesignCon FPGA I/O Timing Variations Due to Simultaneous Switching Outputs. Zhe Li, Altera Corporation

DesignCon FPGA I/O Timing Variations Due to Simultaneous Switching Outputs. Zhe Li, Altera Corporation DesignCon 2008 FPGA I/O Timing Variations Due to Simultaneous Switching Outputs Zhe Li, Altera Corporation ZLI@altera.com, 408-544-7762 Iliya Zamek, Altera Corporation izamek@altera.com, 408-544-8116 Peter

More information

Robust I/O circuit scheme for world s first over 1.6Gbps LPDDR3

Robust I/O circuit scheme for world s first over 1.6Gbps LPDDR3 DesignCon 2013 Robust I/O circuit scheme for world s first over 1.6Gbps LPDDR3 Kyoung Hoi Koo, SAMSUNG Electronics. [kiminkoo@samsung.com] Woong Hwan Ryu, SAMSUNG Electronics. Sang Min Lee, SAMSUNG Electronics.

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

Frequency-Domain Characterization of Power Distribution Networks

Frequency-Domain Characterization of Power Distribution Networks Frequency-Domain Characterization of Power Distribution Networks Istvan Novak Jason R. Miller ARTECH H O U S E BOSTON LONDON artechhouse.com Preface Acknowledgments xi xv CHAPTER 1 Introduction 1 1.1 Evolution

More information

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Youngwon Kim, Chunghyun Ryu, Jongbae Park, and Joungho Kim Terahertz Interconnection and Package Laboratory,

More information

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER World s First LPDDR3 Enabling for Mobile Application Processors System Contents Introduction Problem Statements at Early mobile platform Root-cause, Enablers

More information

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines December 2007, ver. 1.0 Introduction Application Note 508 Low-cost FPGAs designed on 90-nm and 65-nm process technologies are made to support

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers 04/29/03 EE371 Power Delivery D. Ayers 1 VLSI Power Delivery David Ayers 04/29/03 EE371 Power Delivery D. Ayers 2 Outline Die power delivery Die power goals Typical processor power grid Transistor power

More information

DesignCon 2017 Characterization of DDR4 Receiver Sensitivity Impact on Post-equalization Eye

DesignCon 2017 Characterization of DDR4 Receiver Sensitivity Impact on Post-equalization Eye DesignCon 2017 Characterization of DDR4 Receiver Sensitivity Impact on Post-equalization Eye Yong Wang, Xilinx Inc. Thomas To, Xilinx Inc. Penglin Niu, Xilinx Inc. Fangyi Rao, Keysight Technologies Juan

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

Logic Analyzer Probing Techniques for High-Speed Digital Systems

Logic Analyzer Probing Techniques for High-Speed Digital Systems DesignCon 2003 High-Performance System Design Conference Logic Analyzer Probing Techniques for High-Speed Digital Systems Brock J. LaMeres Agilent Technologies Abstract Digital systems are turning out

More information

Simulation and Measurement of an On-Die Power-Gated Power Delivery System

Simulation and Measurement of an On-Die Power-Gated Power Delivery System DesignCon 2010 Simulation and Measurement of an On-Die Power-Gated Power Delivery System Jimmy Huang, Intel [jimmy.huat.since.huang@intel.com, (+604)-2532385] Tan Fern Nee, Intel [fern.nee.tan@intel.com,

More information

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen

Si-Interposer Collaboration in IC/PKG/SI. Eric Chen Si-Interposer Collaboration in IC/PKG/SI Eric Chen 4/Jul/2014 Design Overview U-bump Logic IC Mem IC C4 bump Logic IC Silicon/Organic substrate Interposer Mem IC CAP Package substrate Solder Ball VRM BGA

More information

Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems

Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems Prathap Muthana, Madhavan Swaminathan, Rao Tummala, P.Markondeya Raj, Ege Engin,Lixi

More information

DesignCon Effect of Power Plane Inductance on Power Delivery Networks. Shirin Farrahi, Cadence Design Systems

DesignCon Effect of Power Plane Inductance on Power Delivery Networks. Shirin Farrahi, Cadence Design Systems DesignCon 2019 Effect of Power Plane Inductance on Power Delivery Networks Shirin Farrahi, Cadence Design Systems shirinf@cadence.com, 978-262-6008 Ethan Koether, Oracle Corp ethan.koether@oracle.com Mehdi

More information

POWER INTEGRITY INTERFACES FOR I/O. With Signal Integrity/ Power Integrity Co-Design. Vishram S. Pandit Woong Hwan Ryu Myoung Joon Choi

POWER INTEGRITY INTERFACES FOR I/O. With Signal Integrity/ Power Integrity Co-Design. Vishram S. Pandit Woong Hwan Ryu Myoung Joon Choi POWER INTEGRITY FOR I/O INTERFACES With Signal Integrity/ Power Integrity Co-Design Vishram S. Pandit Woong Hwan Ryu Myoung Joon Choi Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto

More information

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest The Inductance Loop Power Distribution in the Semiconductor Test Interface Jason Mroczkowski Multitest j.mroczkowski@multitest.com Silicon Valley Test Conference 2010 1 Agenda Introduction to Power Delivery

More information

Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis

Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis Micro Chang htc Michael_Chang@hTC.com Jan 9, 2019 X 1 Agenda Jitter-aware target impedance of power delivery network

More information

Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices

Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices DesignCon 216 Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices Jin-Sung Youn, Samsung Electronics Inc. jinsung.youn@samsung.com, youn.jinsung75@gmail.com Jieun Park, Samsung Electronics

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

Research in Support of the Die / Package Interface

Research in Support of the Die / Package Interface Research in Support of the Die / Package Interface Introduction As the microelectronics industry continues to scale down CMOS in accordance with Moore s Law and the ITRS roadmap, the minimum feature size

More information

Towards Developing a Standard for Data Input/Output Format for PDN Modeling & Simulation Tools

Towards Developing a Standard for Data Input/Output Format for PDN Modeling & Simulation Tools Towards Developing a Standard for Data Input/Output Format for PDN Modeling & Simulation Tools Ravi Kaw, Agilent Technologies, Inc. 5301 Stevens Creek Blvd, Santa Clara, CA 95051 Phone: (408) 345-8893,

More information

EMI. Chris Herrick. Applications Engineer

EMI. Chris Herrick. Applications Engineer Fundamentals of EMI Chris Herrick Ansoft Applications Engineer Three Basic Elements of EMC Conduction Coupling process EMI source Emission Space & Field Conductive Capacitive Inductive Radiative Low, Middle

More information

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model HSD Strategic Intent Provide the industry s premier HSD EDA software. Integration of premier

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

AN ABSTRACT OF THE THESIS OF

AN ABSTRACT OF THE THESIS OF AN ABSTRACT OF THE THESIS OF Shannon Mark for the degree of Master of Science in Electrical and Computer Engineering presented on June 3, 2011. Title: Dual Referencing Guidelines to Minimize Power Delivery

More information

Signal/Power Integrity Analysis of High-Speed Memory Module with Meshed Reference Plane 1

Signal/Power Integrity Analysis of High-Speed Memory Module with Meshed Reference Plane 1 , pp.119-128 http//dx.doi.org/10.14257/ijca.2018.11.7.10 Signal/Power Integrity Analysis of High-Speed Memory Module with Meshed Reference Plane 1 Moonjung Kim Institute of IT Convergence Technology, Dept.

More information

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support www.ozeninc.com info@ozeninc.com (408) 732 4665 1210 E Arques Ave St 207 Sunnyvale, CA 94085 Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training &

More information

Lecture 17. Low Power Circuits and Power Delivery

Lecture 17. Low Power Circuits and Power Delivery Lecture 17 Low Power Circuits and Power Delivery Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2007 Ron Ho and Mark Horowitz w/ slides used from David Ayers 1 Power Delivery

More information

Design Fundamentals by A. Ciccomancini Scogna, PhD Suppression of Simultaneous Switching Noise in Power and Ground Plane Pairs

Design Fundamentals by A. Ciccomancini Scogna, PhD Suppression of Simultaneous Switching Noise in Power and Ground Plane Pairs Design Fundamentals by A. Ciccomancini Scogna, PhD Suppression of Simultaneous Switching Noise in Power and Ground Plane Pairs Photographer: Janpietruszka Agency: Dreamstime.com 36 Conformity JUNE 2007

More information

Understanding, measuring, and reducing output noise in DC/DC switching regulators

Understanding, measuring, and reducing output noise in DC/DC switching regulators Understanding, measuring, and reducing output noise in DC/DC switching regulators Practical tips for output noise reduction Katelyn Wiggenhorn, Applications Engineer, Buck Switching Regulators Robert Blattner,

More information

Preamplifier Options for Reducing Cable-Braid Loop Error

Preamplifier Options for Reducing Cable-Braid Loop Error QuietPower columns, December 2018 Preamplifier Options for Reducing Cable-Braid Loop Error Istvan Novak, Samtec It has been known for quite some time [1] that when we measure low impedance with the Two-port

More information

Decoupling Capacitance

Decoupling Capacitance Decoupling Capacitance Nitin Bhardwaj ECE492 Department of Electrical and Computer Engineering Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling

More information

High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516

High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516 High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516 APPLICATION REPORT: SLMA003A Boyd Barrie Bus Solutions Mixed Signals DSP Solutions September 1998 IMPORTANT NOTICE Texas Instruments

More information

DesignCon 2003 High-Performance System Design Conference (HP3-5)

DesignCon 2003 High-Performance System Design Conference (HP3-5) DesignCon 2003 High-Performance System Design Conference (HP3-5) Logic Analyzer Probing Techniques for High-Speed Digital Systems Author/Presenter: Brock LaMeres Hardware Design Engineer Logic Analyzer

More information

DesignCon Design of Gb/s Interconnect for High-bandwidth FPGAs. Sherri Azgomi, Altera Corporation

DesignCon Design of Gb/s Interconnect for High-bandwidth FPGAs. Sherri Azgomi, Altera Corporation DesignCon 2004 Design of 3.125 Gb/s Interconnect for High-bandwidth FPGAs Sherri Azgomi, Altera Corporation sazgomi@altera.com Lawrence Williams, Ph.D., Ansoft Corporation williams@ansoft.com CF-031505-1.0

More information

DesignCon Signal and Power Integrity for a 1600 Mbps DDR3 PHY in Wirebond Package

DesignCon Signal and Power Integrity for a 1600 Mbps DDR3 PHY in Wirebond Package DesignCon 2011 Signal and Power Integrity for a 1600 Mbps DDR3 PHY in Wirebond Package June Feng, Rambus Inc. [Email: jfeng@rambus.com] Ralf Schmitt, Rambus Inc. Hai Lan, Rambus Inc. Yi Lu, Rambus Inc.

More information

FPGA Design for Signal and Power Integrity

FPGA Design for Signal and Power Integrity DesignCon 2007 FPGA Design for Signal and Power Integrity Larry Smith, Altera Corporation Hong Shi, Altera Corporation Abstract FPGAs have traditionally been optimized for low-cost environments where signal

More information

Modeling FPGA Current Waveform and Spectrum and PDN Noise Estimation

Modeling FPGA Current Waveform and Spectrum and PDN Noise Estimation DesignCon 2008 Modeling FPGA Current Waveform and Spectrum and PDN Noise Estimation Iliya Zamek, Altera Corporation izamek@altera.com, 408-544-8116 Peter Boyle, Altera Corporation pboyle@altera.com, 408-544-6939

More information

HA7210, HA kHz to 10MHz, Low Power Crystal Oscillator. Description. Features. Ordering Information. Applications. Typical Application Circuits

HA7210, HA kHz to 10MHz, Low Power Crystal Oscillator. Description. Features. Ordering Information. Applications. Typical Application Circuits SEMICONDUCTOR HA, HA November 99 khz to MHz, Low Power Crystal Oscillator Features Description Single Supply Operation at khz.......... V to V Operating Frequency Range........ khz to MHz Supply Current

More information

Development and Validation of a Microcontroller Model for EMC

Development and Validation of a Microcontroller Model for EMC Development and Validation of a Microcontroller Model for EMC Shaohua Li (1), Hemant Bishnoi (1), Jason Whiles (2), Pius Ng (3), Haixiao Weng (2), David Pommerenke (1), and Daryl Beetner (1) (1) EMC lab,

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

Learning the Curve BEYOND DESIGN. by Barry Olney

Learning the Curve BEYOND DESIGN. by Barry Olney by Barry Olney coulmn BEYOND DESIGN Learning the Curve Currently, power integrity is just entering the mainstream market phase of the technology adoption life cycle. The early market is dominated by innovators

More information

Optimization of Wafer Level Test Hardware using Signal Integrity Simulation

Optimization of Wafer Level Test Hardware using Signal Integrity Simulation June 7-10, 2009 San Diego, CA Optimization of Wafer Level Test Hardware using Signal Integrity Simulation Jason Mroczkowski Ryan Satrom Agenda Industry Drivers Wafer Scale Test Interface Simulation Simulation

More information

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks Sanjay Pant, David Blaauw University of Michigan, Ann Arbor, MI Abstract The placement of on-die decoupling

More information

DESIGN TIP DT Managing Transients in Control IC Driven Power Stages 2. PARASITIC ELEMENTS OF THE BRIDGE CIRCUIT 1. CONTROL IC PRODUCT RANGE

DESIGN TIP DT Managing Transients in Control IC Driven Power Stages 2. PARASITIC ELEMENTS OF THE BRIDGE CIRCUIT 1. CONTROL IC PRODUCT RANGE DESIGN TIP DT 97-3 International Rectifier 233 Kansas Street, El Segundo, CA 90245 USA Managing Transients in Control IC Driven Power Stages Topics covered: By Chris Chey and John Parry Control IC Product

More information

544 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 3, AUGUST /$ IEEE

544 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 3, AUGUST /$ IEEE 544 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 3, AUGUST 2008 Modeling and Measurement of Interlevel Electromagnetic Coupling and Fringing Effect in a Hierarchical Power Distribution Network

More information

Closing the loop part 1: Why use simulation tools for high speed signal channel design?

Closing the loop part 1: Why use simulation tools for high speed signal channel design? Closing the loop part 1: Why use simulation tools for high speed signal channel design? Riccardo Giacometti Application Engineer Agilent EEsof EDA Page 1 High Speed Digital Design Flow Pre-Layout w/channel

More information

Effect of Power Noise on Multi-Gigabit Serial Links

Effect of Power Noise on Multi-Gigabit Serial Links Effect of Power Noise on Multi-Gigabit Serial Links Ken Willis (kwillis@sigrity.com) Kumar Keshavan (ckumar@sigrity.com) Jack Lin (jackwclin@sigrity.com) Tariq Abou-Jeyab (tariqa@sigrity.com) Sigrity Inc.,

More information

Validation Report Comparison of Eye Patterns Generated By Synopsys HSPICE and the Agilent PLTS

Validation Report Comparison of Eye Patterns Generated By Synopsys HSPICE and the Agilent PLTS Comparison of Eye Patterns Generated By Synopsys HSPICE and the Agilent PLTS Using: Final Inch Test/Eval Kit, Differential Pair - No Grounds Configuration, QTE-DP/QSE-DP, 5mm Stack Height (P/N FIK-QxE-04-01)

More information

Probe Card Characterization in Time and Frequency Domain

Probe Card Characterization in Time and Frequency Domain Gert Hohenwarter GateWave Northern, Inc. Probe Card Characterization in Time and Frequency Domain Company Logo 2007 San Diego, CA USA Objectives Illuminate differences between Time Domain (TD) and Frequency

More information

Measurement and Comparative S21 Performance of Raw and Mounted Decoupling Capacitors

Measurement and Comparative S21 Performance of Raw and Mounted Decoupling Capacitors Measurement and Comparative S21 Performance of Raw and Mounted Decoupling Capacitors Summary Introduction Capacitors All IC power systems require some level of passive decoupling. The ability to accurately

More information

Plane Crazy, Part 2 BEYOND DESIGN. by Barry Olney

Plane Crazy, Part 2 BEYOND DESIGN. by Barry Olney by Barry Olney column BEYOND DESIGN Plane Crazy, Part 2 In my recent four-part series on stackup planning, I described the best configurations for various stackup requirements. But I did not have the opportunity

More information

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications 3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications Darryl Kostka, CST of America Taigon Song and Sung Kyu Lim, Georgia Institute of Technology Outline Introduction TSV Array

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information