Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Size: px
Start display at page:

Download "Design Considerations for Highly Integrated 3D SiP for Mobile Applications"

Transcription

1 Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST

2 Contents I. Market and future direction of 3D system in package II. Signal integrity issues in 3D SiP Design III. Power integrity issues in 3D SiP Design IV. Summary 2 2

3 Ubiquitous Mobile Life Physical World Mobile Platform Player Brain Product Robot Wired Wireless Internet Computing Communication Sensing/Cognition/Iden tification Entertainment Medical/Welfare service Telephony network Auto-mobile 3

4 3D System In Package 3D Memory Stack Multi-core Processor SRAM DRAM Flash RF Transmitter/ Receiver Filter Antenna Embedded de-cap Termination Resistor EBG Structure 4

5 16GB Samsung NAND Flash, 8Gbx16 Terahertz Interconnection and Package Sharp, Morihiro Laboratory Kada 5

6 3D Hamburger SDRAM Digital Core RF Analog 6 6

7 Advantages of 3D SiP approach Small form factor Fast time to market Inhomogeneous device integration Integration of passive devices, filters, and antenna Suitable for RF mobile communication systems Low cost 7 7

8 Applications for SiP 71 % Concentrate on Multi-Function Light Weight Device Application - Short Product Life Cycle (PLC) 3 % SiP Application 5% 6% 2007 Source: Advanced IC Packaging (2007 Edition) 8

9 Frequency Spectrum of Digital Clock Waveforms f(x) Time 9

10 Waveform and Spectrum of Clock Signal Magnitude (mv) ns10ns 100MHz 200MHz Time (ns) Power [dbm] Fundamental : 100MHz 200MHz Odd harmonics Even harmonics 100MHz 200MHz Frequency [MHz] 10

11 Spectrum of Wireless Mobile Communication Systems WI-FI Bluetooth T-DMB TPMS GPS S-DMB AM FM RF-ID WiBro UWB UWB 174~216MHz MHz MHz 2.3GHz 2.6GHz 3.1~4.8GHz 7.2~10.2GHz 주파수 535~1,705kHz 2.4GHz MHz 88~108MHz 900MHz 11

12 Noise coupling path from digital circuits and RF circuits - Noise coupling Paths: Wire, Traces, slot, and Balls Via transitions Return current path discontinuities Power and ground pane cavities - Results: Timing and voltage margin violation at receiver Degradation of receiver sensitivity and BER 12

13 Signal Integrity Concerns at SiP design Reflections and resonances by impedance mismatches: source end termination, line impedance, and receiver end termination Reflections and resonances by impedance discontinuities: via, pad, wire, connectors, cables. Reflections and resonances by return current path discontinuities Common return current path and non-zero return current path impedance Channel loss by skin effect loss and dielectric loss 13

14 Impedance discontinuities at package wire, pad, via, trace, ball Channel of chip-to-chip link : A package is becoming a major bandwidth restraint. Gnd Pad SDRAM Bump Digital BB & Multimedia Cap Cap RF tuner(flipped) Cap Cap PKG (4 layer) Board Signal 14 14

15 Transmission Lines on SiP Package Type : PBGA No. of Layers : 4 Package Size : 23 x 23mm Ball Array 22x22 Ball Array, 384 Balls Power/Ground Plane Split 5 Ground / 7 Power Die Size 5 x 5mm, 1.6 x 1.8mm Line Width : 60um Ball pitch, size : 1mm,0.6mm Via : 300um, Drill : 150um Finger length : 300um Finger pitch : 140~150um Finger spacing : 25um A1 placement : no routing 15

16 Insertion Loss of 900MHz Single Line 0 S21[dB] MHz frequency (GHz) Case No split / no ref change No split /ref change Split / no ref change S21(dB) No split & no reference change No split & reference change Split & no reference change 16

17 Spectrum Analyzer Measurement of P/G Plane Edge Radiation from TV2 (Center Via) with 500MHz Clock Excitation Edge Radiation (SA-PPG) [dbm] TV2 SA Measurement TV2 P/G Plane Impedance rd 500 MHz CLK TV2 (7cm,7cm) P/G Plane Impedance [Ω] 14cm Short Via Frequency [GHz] 14cm 17

18 Resonances in SiP Substrate Multiple reflections Power/Ground plane cavity Interactions between via inductance, wire inductance, and ESL of decoupling capacitors with off-chip decoupling capacitors, on-chip decoupling capacitors, and power/ground plane capacitance Slots 18

19 Digital noise isolation in SiP Balancing Secure return current Filtering Shielding Separations 19

20 Separation Between Digital Signals and RF Signals A digital clock or digital I/O can be an aggressor signal to an RF signal, while an RF signal can be a victim. Digital clocks or I/Os should be spatially separated from RF signals. All Signals Digital CLK & I/Os Sensitive RF Signals Digital CLK & I/Os All Signals Sensitive RF Signals 20 20

21 Coupling Between Signal Line & Digital Clocks : T-DMB Case 50dB Band 3 Band 3 Band 3 L-Band IN Clock Name Operation Voltage Operation Frequency DACBITCLK 3.3V 2MHz Band III IN DACSYSCLK 3.3V 12MHz SPI0CLK 3.3V 16MHz TCK 3.3V 350kHz AGND TSCLK 3.3V 4MHz TVCLK 3.3V 27MHz VRCLK 3.3V 27MHz 21

22 Coupling in Wires for Stacked SiP 22 22

23 10 chip stacked Package by KAIST μm TSV diameter 150 μm Pitch 23

24 Key Technology : TSV (Through Silicon Via) 3 rd Chip (Thinned Substrate) Short Interconnection Reduced RC Delays Low Impedance for Power Distribution Network Low Power Consumption Heat Dissipation Through Via 2 nd Chip (Thinned Substrate) 1 st Chip Under fill Dielectric Dielectric Under fill Multi-level On-chip Interconnect SiO2 No Space Limitation for Interconnection High Density Chip Wiring No Limitation of I/O Number No Limitation of I/O Pitch Small Area Package Si-Substrate 3D TSV Stacked IC 24

25 Background(1): High-frequency Channel Loss in TSV -Significant high-frequency signal loss occur at Signal Transmission C Through TSV via_ox -The signal loss through TSV is caused by substrate leakage and coupling G sil S21(magnitude) [db] 0.1μm Frequency [GHz] Si SiO 2 Ta Cu Close up of through wafer via Magnitude of S21 25

26 Loss characteristics of single-ended signal TSVs Electrical characteristics of signal TSVs: 1 Capacitance C area, 1/(distance) Capacitance bet. metal & SiO2 Capacitance bet. metal & Silicon sub. S21(magnitude) [db] # of stacks Via diameter Bump diameter SiO2 thickness area distance S21 slope Pitch bet. TSVs distance S21 slope 26 26

27 Coupling Issues in Stacked Dies using TSV Bonding Adhesive P-Substrate Bonding Adhesive 3 rd Chip Inductor TSV TSV TSV TSV N+ P+ P+ N+ N+ P+ N-Well 2 N+ P+ N-Well P-Substrate N+ N+ 3 P+ N+ Metal N-Well to Metal Coupling 2 nd Chip TSV to Active Circuit Coupling Inductor 1 TSV TSV TSV to TSV Coupling N+ P+ P+ N+ N+ P+ N-Well N+ P+ N-Well N+ N+ P+ N+ N-Well P-Substrate 1 st Chip < CROSSSECTIONAL VIEW > 7/32 27

28 Crosstalk Mechanism Between TSV s -20 Port3 C bump Port C si C bump C via_ox + C parasitic,top -50 C ox C parasitic,bump L via -60 R via C si C via_ox + C parasitic,bottom -70 G si M 1G 10G Freq [Hz] Port4 Port2 Very small parasitic capacitances, C bump, C SiO2,top, C SiO2,bottom R via, L via have very little effect on near & far end coupling -28- start to be in effect over GHz range 28

29 SSN coupling paths in SiP - Wires of RX front end near digital power/ground wires - Vias though digital power/ground planes - Traces near digital power/ground traces - Embedded passive components of RX front end : Balun, filter, coupler, and antenna 29 29

30 PDN Noise Isolation Methods Digital PDN Chip Analog/RF PDN A Package PCB B A Chip Level - Split On-chip Metal PDN Bus - Guard Ring (P+/ N+/ Deep-Nwell type) - On-chip Decoupling Capacitor - Internal Voltage Regulator B Package/PCB Level - Split Power/Ground Planes - On-Package/PCB Decoupling Capacitor (Discrete type, Embedded type) - Electromagnetic Band Gap (EBG) Frequency dependency of noise isolation Z21 analysis in the frequency domain 30

31 The isolation methods of each hierarchical PDN Transfer Impedance [Ω] Merged PCB PDN/Merged Package PDN Split PCB PDN/Split Package PDN Split PCB PDN/Split Package PDN+off-chip decap. Split PCB PDN/Split Package PDN+off-chip decap.+on-chip decap. By Split 10-2 off-chip decap. on-chip decap M 10M 100M 1G 3G Frequency [Hz] By split of PCB and package level PDN, the PDN transfer impedance can be suppressed except around 10MHz. By adding on-/off-chip decoupling capacitor, the PDN transfer impedance can be suppressed in both low and high frequency region. 31

32 Measured PDN Impedances between TSV PKG and Bond-Wire PKG P/G network impedance [Ω] Plane Plane C of of package package =70pF =70pF Impedance Improvement With Discrete Decoupling Capacitors De-cap C=4nF De-cap. C=4nF Bonding-wire w/o de-cap. TSV w/o de-cap. TSV w/ 4 x 1nF on-package de-cap. Parallel resonance between ESL of de-cap. and package plane 512MHz ESL=1.66nH Impedance Improvement over GHz Parallel resonance With between TSVs ESL of de-cap. and package plane C 755MHz ESL=0.79nH Frequency [Hz] Discrete on-package de-cap provides low impedance at the low frequency range (Large Capacitance) TSV reduces impedance over GHz range (Small ESL of TSV) 32

33 Characterization [3] : Chip-PKG-TSV port1 L chip L chip L via L PKG L PKG Chip n+ n+ C cap C chip C chip_decap C PKG Package L cap L chip L chip L via L PKG L PKG 40 Z11 of Chip-PKG Hierarchical PDN(dB) L cap +ESL C PKG +C via + C chip_decap +C chip L PKG +ESL C chip_decap +C chip ESR L chip 0 100M 1G 10G Frequency(Hz) 33

34 Cell Partitioning in EBG Structure with Embedded Film Capacitor 0.2mm 100mm Port 1 Port 2 9.8mm Via EBG Cell Array 100mm 10mm Fig.1. (a) The top view of the test vehicle with EBG structure EBG cells are arrayed in 100mm 100mm board. The measurement port 1and 2 locate at the center and the edge of the board, respectively. 34

35 Measured Z21, Transfer Impedance of PDN f 20,02 f 20,02 f 22 f 40,04 f f f 62, ,06 f 42, Z 21 [Ω] band-gap (3.2GHz) band-gap (1GHz) 10-3 TV C (EBG, Thin Film) Frequency [GHz] TV A (Solid, FR4) TV B (EBG, FR4) Fig. 3. (b) The measured transfer impedance curves between port 1 and 2: TV A (dashed line); TV B (dotted line); and TV C (solid line). TV C (thin film EBG) has band-gap from 300MHz to 3.5GHz and TV B (typical EBG) has band-gap from 2.3GHz to 3.3GHz. 35

36 Measured Radiated Emission Spectrum Radiated Emission [dbm] Radiated Emission [dbm] dBm Frequency [GHz] Reference Noise Floor 2.5dBm TV B (EBG, FR4) Frequency [GHz] Radiated Emission [dbm] Radiated Emission [dbm] dBm Frequency [GHz] dBm TV A (Solid, FR4) TV C (EBG, Thin Film) Terahertz Frequency Interconnection [GHz] and Package Laboratory 36

37 Summary - Significant noise coupling occurs from digital PDN to noise sensitive RF and analog circuits on a same SiP. - The clock frequencies and harmonic frequencies should be placed away from the RF carrier frequencies. - Low PDN impedance should be maintained. - PDN resonance frequencies should be placed away not only from the clock frequencies, and their harmonic frequencies, but also from RF carrier frequencies. - Via and wire are a major noise coupling path from digital PDN to noise sensitive circuits. - Noise coupling reduction methods including using PDN design, frequency control, filtering, separation/isolation, decoupling, shielding, and grounding techniques. - Chip-package co-design can provide optimal and cost-effective solutions

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

Power Distribution Status and Challenges

Power Distribution Status and Challenges Greetings from Georgia Institute of Institute Technology of Technology Power Distribution Status and Challenges Presented by Madhavan Swaminathan Packaging Research Center School of Electrical and Computer

More information

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications 3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications Darryl Kostka, CST of America Taigon Song and Sung Kyu Lim, Georgia Institute of Technology Outline Introduction TSV Array

More information

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Youngwon Kim, Chunghyun Ryu, Jongbae Park, and Joungho Kim Terahertz Interconnection and Package Laboratory,

More information

EMI. Chris Herrick. Applications Engineer

EMI. Chris Herrick. Applications Engineer Fundamentals of EMI Chris Herrick Ansoft Applications Engineer Three Basic Elements of EMC Conduction Coupling process EMI source Emission Space & Field Conductive Capacitive Inductive Radiative Low, Middle

More information

Silicon Interposers enable high performance capacitors

Silicon Interposers enable high performance capacitors Interposers between ICs and package substrates that contain thin film capacitors have been used previously in order to improve circuit performance. However, with the interconnect inductance due to wire

More information

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014 Considerations in High-Speed High Performance Die-Package-Board Co-Design Jenny Jiang Altera Packaging Department October 2014 Why Co-Design? Complex Multi-Layer BGA Package Horizontal and vertical design

More information

Course Introduction. Content 16 pages. Learning Time 30 minutes

Course Introduction. Content 16 pages. Learning Time 30 minutes Course Introduction Purpose This course discusses techniques for analyzing and eliminating noise in microcontroller (MCU) and microprocessor (MPU) based embedded systems. Objectives Learn what EMI is and

More information

/14/$ IEEE 470

/14/$ IEEE 470 Analysis of Power Distribution Network in Glass, Silicon Interposer and PCB Youngwoo Kim, Kiyeong Kim Jonghyun Cho, and Joungho Kim Department of Electrical Engineering, KAIST Daejeon, South Korea youngwoo@kaist.ac.kr

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material

Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material April 28, 2010 Yu Xuequan, Yanhang, Zhang Gezi, Wang Haisan Huawei Technologies CO., LTD. Shanghai, China Tony_yu@huawei.com

More information

EMC for Printed Circuit Boards

EMC for Printed Circuit Boards 9 Bracken View, Brocton Stafford, Staffs, UK tel: +44 (0)1785 660 247 fax +44 (0)1785 660 247 email: keith.armstrong@cherryclough.com web: www.cherryclough.com EMC for Printed Circuit Boards Basic and

More information

Ensuring Signal and Power Integrity for High-Speed Digital Systems

Ensuring Signal and Power Integrity for High-Speed Digital Systems Ensuring Signal and Power Integrity for High-Speed Digital Systems An EMC Perspective Christian Schuster Institut für Theoretische Elektrotechnik Technische Universität Hamburg-Harburg (TUHH) Invited Presentation

More information

Advanced Topics in EMC Design. Issue 1: The ground plane to split or not to split?

Advanced Topics in EMC Design. Issue 1: The ground plane to split or not to split? NEEDS 2006 workshop Advanced Topics in EMC Design Tim Williams Elmac Services C o n s u l t a n c y a n d t r a i n i n g i n e l e c t r o m a g n e t i c c o m p a t i b i l i t y e-mail timw@elmac.co.uk

More information

Chapter 16 PCB Layout and Stackup

Chapter 16 PCB Layout and Stackup Chapter 16 PCB Layout and Stackup Electromagnetic Compatibility Engineering by Henry W. Ott Foreword The PCB represents the physical implementation of the schematic. The proper design and layout of a printed

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

A Novel Embedded Common-mode Filter for above GHz differential signals based on Metamaterial concept. Tzong-Lin Wu

A Novel Embedded Common-mode Filter for above GHz differential signals based on Metamaterial concept. Tzong-Lin Wu c //3 A Novel Embedded Common-mode Filter for above GHz differential signals based on Metamaterial concept Tzong-Lin Wu Professor Graduate Institute of Communication Engineering, National Taiwan University,

More information

EMI Reduction on an Automotive Microcontroller

EMI Reduction on an Automotive Microcontroller EMI Reduction on an Automotive Microcontroller Design Automation Conference, July 26 th -31 st, 2009 Patrice JOUBERT DORIOL 1, Yamarita VILLAVICENCIO 2, Cristiano FORZAN 1, Mario ROTIGNI 1, Giovanni GRAZIOSI

More information

Decoupling capacitor placement

Decoupling capacitor placement Decoupling capacitor placement Covered in this topic: Introduction Which locations need decoupling caps? IC decoupling Capacitor lumped model How to maximize the effectiveness of a decoupling cap Parallel

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Course Introduction. Content 15 pages. Learning Time 30 minutes

Course Introduction. Content 15 pages. Learning Time 30 minutes Course Introduction Purpose This course discusses techniques for analyzing and eliminating noise in microcontroller (MCU) and microprocessor (MPU) based embedded systems. Objectives Learn about how packaging

More information

Understanding, measuring, and reducing output noise in DC/DC switching regulators

Understanding, measuring, and reducing output noise in DC/DC switching regulators Understanding, measuring, and reducing output noise in DC/DC switching regulators Practical tips for output noise reduction Katelyn Wiggenhorn, Applications Engineer, Buck Switching Regulators Robert Blattner,

More information

The 3D Silicon Leader

The 3D Silicon Leader The 3D Silicon Leader 3D Silicon IPD for smaller and more reliable Implantable Medical Devices ATW on Advanced Packaging for Wireless Medical Devices Mohamed Mehdi Jatlaoui, Sébastien Leruez, Olivier Gaborieau,

More information

PCB Design Guidelines for GPS chipset designs. Section 1. Section 2. Section 3. Section 4. Section 5

PCB Design Guidelines for GPS chipset designs. Section 1. Section 2. Section 3. Section 4. Section 5 PCB Design Guidelines for GPS chipset designs The main sections of this white paper are laid out follows: Section 1 Introduction Section 2 RF Design Issues Section 3 Sirf Receiver layout guidelines Section

More information

Flip-Chip for MM-Wave and Broadband Packaging

Flip-Chip for MM-Wave and Broadband Packaging 1 Flip-Chip for MM-Wave and Broadband Packaging Wolfgang Heinrich Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH) Berlin / Germany with contributions by F. J. Schmückle Motivation Growing markets

More information

Foundry WLSI Technology for Power Management System Integration

Foundry WLSI Technology for Power Management System Integration 1 Foundry WLSI Technology for Power Management System Integration Chuei-Tang Wang, Chih-Lin Chen, Jeng-Shien Hsieh, Victor C.Y. Chang, Douglas Yu R&D,TSMC Oct. 2016 2 Motivation Outline PMIC system integration

More information

A Co-design Methodology of Signal Integrity and Power Integrity

A Co-design Methodology of Signal Integrity and Power Integrity DesignCon 2006 A Co-design Methodology of Signal Integrity and Power Integrity Woong Hwan Ryu, Intel Corporation woong.hwan.ryu@intel.com Min Wang, Intel Corporation min.wang@intel.com 1 Abstract As PCB

More information

Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems

Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems Prathap Muthana, Madhavan Swaminathan, Rao Tummala, P.Markondeya Raj, Ege Engin,Lixi

More information

High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516

High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516 High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516 APPLICATION REPORT: SLMA003A Boyd Barrie Bus Solutions Mixed Signals DSP Solutions September 1998 IMPORTANT NOTICE Texas Instruments

More information

Design of the Power Delivery System for Next Generation Gigahertz Packages

Design of the Power Delivery System for Next Generation Gigahertz Packages Design of the Power Delivery System for Next Generation Gigahertz Packages Madhavan Swaminathan Professor School of Electrical and Computer Engg. Packaging Research Center madhavan.swaminathan@ece.gatech.edu

More information

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER World s First LPDDR3 Enabling for Mobile Application Processors System Contents Introduction Problem Statements at Early mobile platform Root-cause, Enablers

More information

License to Speed: Extreme Bandwidth Packaging

License to Speed: Extreme Bandwidth Packaging License to Speed: Extreme Bandwidth Packaging Sean S. Cahill VP, Technology BridgeWave Communications Santa Clara, California, USA BridgeWave Communications Specializing in 60-90 GHz Providing a wireless

More information

Application Note 5525

Application Note 5525 Using the Wafer Scale Packaged Detector in 2 to 6 GHz Applications Application Note 5525 Introduction The is a broadband directional coupler with integrated temperature compensated detector designed for

More information

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer 2016 IEEE 66th Electronic Components and Technology Conference Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer Youngwoo Kim, Jinwook Song, Subin Kim

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

Class-D Audio Power Amplifiers: PCB Layout For Audio Quality, EMC & Thermal Success (Home Entertainment Devices)

Class-D Audio Power Amplifiers: PCB Layout For Audio Quality, EMC & Thermal Success (Home Entertainment Devices) Class-D Audio Power Amplifiers: PCB Layout For Audio Quality, EMC & Thermal Success (Home Entertainment Devices) Stephen Crump http://e2e.ti.com Audio Power Amplifier Applications Audio and Imaging Products

More information

INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT

INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT ABSTRACT: This paper describes the design of a high-efficiency energy harvesting

More information

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014 Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application Institute of Microelectronics 22 April 2014 Challenges for HD Fan-Out Electrical Design 15-20 mm 7 mm 6 mm SI/PI with multilayer

More information

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest The Inductance Loop Power Distribution in the Semiconductor Test Interface Jason Mroczkowski Multitest j.mroczkowski@multitest.com Silicon Valley Test Conference 2010 1 Agenda Introduction to Power Delivery

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

The number of layers The number and types of planes (power and/or ground) The ordering or sequence of the layers The spacing between the layers

The number of layers The number and types of planes (power and/or ground) The ordering or sequence of the layers The spacing between the layers PCB Layer Stackup PCB layer stackup (the ordering of the layers and the layer spacing) is an important factor in determining the EMC performance of a product. The following four factors are important with

More information

Chapter 12 Digital Circuit Radiation. Electromagnetic Compatibility Engineering. by Henry W. Ott

Chapter 12 Digital Circuit Radiation. Electromagnetic Compatibility Engineering. by Henry W. Ott Chapter 12 Digital Circuit Radiation Electromagnetic Compatibility Engineering by Henry W. Ott Forward Emission control should be treated as a design problem from the start, it should receive the necessary

More information

HT32 Series Crystal Oscillator, ADC Design Note and PCB Layout Guide

HT32 Series Crystal Oscillator, ADC Design Note and PCB Layout Guide HT32 Series rystal Oscillator, AD Design Note and PB Layout Guide HT32 Series rystal Oscillator, AD Design Note and PB Layout Guide D/N:AN0301E Introduction This application note provides some hardware

More information

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2 Design Space Exploration for Robust Power Delivery in TSV Based 3-D Systems-on-Chip Suhas M. Satheesh High-Speed Fabrics Team NVIDIA Santa Clara, California 955 ssatheesh@nvidia.com Emre Salman Department

More information

Plane Crazy, Part 2 BEYOND DESIGN. by Barry Olney

Plane Crazy, Part 2 BEYOND DESIGN. by Barry Olney by Barry Olney column BEYOND DESIGN Plane Crazy, Part 2 In my recent four-part series on stackup planning, I described the best configurations for various stackup requirements. But I did not have the opportunity

More information

UM :XX. 6 Line ESD/EMI Protection for Color LCD Interfaces UM6401 DFN General Description

UM :XX. 6 Line ESD/EMI Protection for Color LCD Interfaces UM6401 DFN General Description 6 Line ESD/EMI Protection for Color LCD Interfaces DFN12 3.0 1.6 General Description The is a low pass filter array with integrated TVS diodes. It is designed to suppress unwanted EMI/RFI signals and provide

More information

MPC 5534 Case study. E. Sicard (1), B. Vrignon (2) Toulouse France. Contact : web site :

MPC 5534 Case study. E. Sicard (1), B. Vrignon (2) Toulouse France. Contact : web site : MPC 5534 Case study E. Sicard (1), B. Vrignon (2) (1) INSA-GEI, 135 Av de Rangueil 31077 Toulouse France (2) Freescale Semiconductors, Toulouse, France Contact : etienne.sicard@insa-toulouse.fr web site

More information

AN-1370 APPLICATION NOTE

AN-1370 APPLICATION NOTE APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA 02062-9106, U.S.A. Tel: 781.329.4700 Fax: 781.461.3113 www.analog.com Design Implementation of the ADF7242 Pmod Evaluation Board Using the

More information

Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer

Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer Youngwoo Kim 1, Jonghyun Cho 1, Kiyeong Kim 1, Venky Sundaram 2, Rao Tummala 2 and Joungho

More information

Development and Validation of IC Models for EMC

Development and Validation of IC Models for EMC Development and Validation of D. Beetner Missouri University University of Missouri of Science - Rolland Technology UMR EMC Laboratory 1 Who is the UMR/MS&T EMC Laboratory? People 5 professors 3 graduate

More information

insert link to the published version of your paper

insert link to the published version of your paper Citation Niels Van Thienen, Wouter Steyaert, Yang Zhang, Patrick Reynaert, (215), On-chip and In-package Antennas for mm-wave CMOS Circuits Proceedings of the 9th European Conference on Antennas and Propagation

More information

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB 3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB Tae Hong Kim, Hyungsoo Kim, Jun So Pak, and Joungho Kim Terahertz

More information

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Progress In Electromagnetics Research Letters, Vol. 74, 117 123, 2018 A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Jun Zhou 1, 2, *, Jiapeng Yang 1, Donglei Zhao 1, and Dongsheng

More information

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY Rémy FERNANDES Lead Application Engineer ANSYS 1 2018 ANSYS, Inc. February 2, 2018 ANSYS ANSYS - Engineering simulation software leader Our industry reach

More information

2. Design Recommendations when Using EZRadioPRO RF ICs

2. Design Recommendations when Using EZRadioPRO RF ICs EZRADIOPRO LAYOUT DESIGN GUIDE 1. Introduction The purpose of this application note is to help users design EZRadioPRO PCBs using design practices that allow for good RF performance. This application note

More information

Advanced Transmission Lines. Transmission Line 1

Advanced Transmission Lines. Transmission Line 1 Advanced Transmission Lines Transmission Line 1 Transmission Line 2 1. Transmission Line Theory :series resistance per unit length in. :series inductance per unit length in. :shunt conductance per unit

More information

Gain Slope issues in Microwave modules?

Gain Slope issues in Microwave modules? Gain Slope issues in Microwave modules? Physical constraints for broadband operation If you are a microwave hardware engineer you most likely have had a few sobering experiences when you test your new

More information

Design Fundamentals by A. Ciccomancini Scogna, PhD Suppression of Simultaneous Switching Noise in Power and Ground Plane Pairs

Design Fundamentals by A. Ciccomancini Scogna, PhD Suppression of Simultaneous Switching Noise in Power and Ground Plane Pairs Design Fundamentals by A. Ciccomancini Scogna, PhD Suppression of Simultaneous Switching Noise in Power and Ground Plane Pairs Photographer: Janpietruszka Agency: Dreamstime.com 36 Conformity JUNE 2007

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING

SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING M Bartek 1, S M Sinaga 1, G Zilber 2, D Teomin 2, A Polyakov 1, J N Burghartz 1 1 Delft University of Technology, Lab of

More information

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction Manufacture and Performance of a Z-interconnect HDI Circuit Card Michael Rowlands, Rabindra Das, John Lauffer, Voya Markovich EI (Endicott Interconnect Technologies) 1093 Clark Street, Endicott, NY 13760

More information

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity Slide -1 Ten Habits of Highly Successful Board Designers or Design for Speed: A Designer s Survival Guide to Signal Integrity with Dr. Eric Bogatin, Signal Integrity Evangelist, Bogatin Enterprises, www.bethesignal.com

More information

Verifying Simulation Results with Measurements. Scott Piper General Motors

Verifying Simulation Results with Measurements. Scott Piper General Motors Verifying Simulation Results with Measurements Scott Piper General Motors EM Simulation Software Can be easy to justify the purchase of software packages even costing tens of thousands of dollars Upper

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

Design and Modeling of Through-Silicon Vias for 3D Integration

Design and Modeling of Through-Silicon Vias for 3D Integration Design and Modeling of Through-Silicon Vias for 3D Integration Ivan Ndip, Brian Curran, Gerhard Fotheringham, Jurgen Wolf, Stephan Guttowski, Herbert Reichl Fraunhofer IZM & BeCAP @ TU Berlin IEEE Workshop

More information

Getting faster bandwidth

Getting faster bandwidth Getting faster bandwidth HervéGrabas Getting faster bandwidth - Hervé Grabas 1 Present bandwith status Limiting factors: Cables Board Bonding wires Input line Sampling capacitance and switch Getting faster

More information

PDS Impact for DDR Low Cost Design

PDS Impact for DDR Low Cost Design PDS Impact for DDR3-1600 Low Cost Design Jack W.C. Lin Sr. AE Manager jackl@cadence.com Aug. g 13 2013 Cadence, OrCAD, Allegro, Sigrity and the Cadence logo are trademarks of Cadence Design Systems, Inc.

More information

Noise Figure Degradation Analysis of Power/Ground Noise on 900MHz LNA for UHF RFID

Noise Figure Degradation Analysis of Power/Ground Noise on 900MHz LNA for UHF RFID Noise Figure Degradation Analysis of Power/Ground Noise on 900MHz LNA for UHF RFID Kyoungchoul Koo, Hyunjeong Park, Yujeong Shim and Joungho Kim Terahertz Interconnection and Package Laboratory, Dept.

More information

Comparison of IC Conducted Emission Measurement Methods

Comparison of IC Conducted Emission Measurement Methods IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 52, NO. 3, JUNE 2003 839 Comparison of IC Conducted Emission Measurement Methods Franco Fiori, Member, IEEE, and Francesco Musolino, Member, IEEE

More information

BASIS OF ELECTROMAGNETIC COMPATIBILITY OF INTEGRATED CIRCUIT Chapter VI - MODELLING PCB INTERCONNECTS Corrections of exercises

BASIS OF ELECTROMAGNETIC COMPATIBILITY OF INTEGRATED CIRCUIT Chapter VI - MODELLING PCB INTERCONNECTS Corrections of exercises BASIS OF ELECTROMAGNETIC COMPATIBILITY OF INTEGRATED CIRCUIT Chapter VI - MODELLING PCB INTERCONNECTS Corrections of exercises I. EXERCISE NO 1 - Spot the PCB design errors Spot the six design errors in

More information

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group Title: Package Model Proposal Source: Nanju Na (nananju@us.ibm.com) Jean Audet (jaudet@ca.ibm.com), David R Stauffer (dstauffe@us.ibm.com) Date: Dec 27 IBM Systems and Technology Group Abstract: New package

More information

UM Line ESD/EMI Protection for Color LCD Interfaces DFN General Description. Rev.06 Dec.

UM Line ESD/EMI Protection for Color LCD Interfaces DFN General Description.   Rev.06 Dec. 6 Line ESD/EMI Protection for Color LCD Interfaces UM6401 DFN12 3.0 1.6 General Description The UM6401 is a low pass filter array with integrated TVS diodes. It is designed to suppress unwanted EMI/RFI

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Scott Goodwin 1, Erik Vick 2 and Dorota Temple 2 1 Micross Advanced Interconnect Technology Micross

More information

Signal/Power Integrity Analysis of High-Speed Memory Module with Meshed Reference Plane 1

Signal/Power Integrity Analysis of High-Speed Memory Module with Meshed Reference Plane 1 , pp.119-128 http//dx.doi.org/10.14257/ijca.2018.11.7.10 Signal/Power Integrity Analysis of High-Speed Memory Module with Meshed Reference Plane 1 Moonjung Kim Institute of IT Convergence Technology, Dept.

More information

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005

Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado September 15, 2005 Compensation for Simultaneous Switching Noise in VLSI Packaging Brock J. LaMeres University of Colorado 1 Problem Statement Package Interconnect Limits VLSI System Performance The three main components

More information

AN4819 Application note

AN4819 Application note Application note PCB design guidelines for the BlueNRG-1 device Introduction The BlueNRG1 is a very low power Bluetooth low energy (BLE) single-mode system-on-chip compliant with Bluetooth specification

More information

7. EMV Fachtagung. EMV-gerechtes Filterdesign. 23. April 2009, TU-Graz. Dr. Gunter Winkler (TU Graz) Dr. Bernd Deutschmann (Infineon Technologies AG)

7. EMV Fachtagung. EMV-gerechtes Filterdesign. 23. April 2009, TU-Graz. Dr. Gunter Winkler (TU Graz) Dr. Bernd Deutschmann (Infineon Technologies AG) 7. EMV Fachtagung 23. April 2009, TU-Graz EMV-gerechtes Filterdesign Dr. Gunter Winkler (TU Graz) Dr. Bernd Deutschmann (Infineon Technologies AG) Page 1 Agenda Filter design basics Filter Attenuation

More information

Measurement Results for a High Throughput MCM

Measurement Results for a High Throughput MCM Measurement Results for a High Throughput MCM Funding: Paul Franzon Toby Schaffer, Alan Glaser, Steve Lipa North Carolina State University paulf@ncsu.edu www.ece.ncsu.edu/erl Outline > Heterogeneous System

More information

CHQ SERIES. Surface Mount Chip Capacitors: Ultra High Frequency

CHQ SERIES. Surface Mount Chip Capacitors: Ultra High Frequency 26 High Frequency Measurement and Performance of High Multilayer Ceramic Capacitors Introduction Capacitors used in High Frequency applications are generally used in two particular circuit applications:

More information

Data Sheet. ACFF-1024 ISM Bandpass Filter ( MHz) Description. Features. Specifications. Functional Block Diagram.

Data Sheet. ACFF-1024 ISM Bandpass Filter ( MHz) Description. Features. Specifications. Functional Block Diagram. ACFF-124 ISM Bandpass Filter (241 2482 MHz) Data Sheet Description The Avago ACFF-124 is a miniaturized Bandpass Filter designed for use in the 2.4 GHz Industrial, Scientific and Medical (ISM) band. The

More information

Intel 82566/82562V Layout Checklist (version 1.0)

Intel 82566/82562V Layout Checklist (version 1.0) Intel 82566/82562V Layout Checklist (version 1.0) Project Name Fab Revision Date Designer Intel Contact SECTION CHECK ITEMS REMARKS DONE General Ethernet Controller Obtain the most recent product documentation

More information

MMA D 30KHz-50GHz Traveling Wave Amplifier With Output Power Detector Preliminary Data Sheet

MMA D 30KHz-50GHz Traveling Wave Amplifier With Output Power Detector Preliminary Data Sheet Features: Frequency Range: 30KHz 50 GHz P1dB: +22 dbm Vout: 7V p-p @50Ω Gain: 15.5 db Vdd =7 V Ids = 200 ma Input and Output Fully Matched to 50 Ω On-Chip Output Power Voltage Detector Die Size 2.35mm

More information

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL POWER INTEGRITY ANALYSIS AND MANAGEMENT I CIRCUITS Raj Nair Donald Bennett PRENTICE HALL Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown

More information

Characterization of Alternate Power Distribution Methods for 3D Integration

Characterization of Alternate Power Distribution Methods for 3D Integration Characterization of Alternate Power Distribution Methods for 3D Integration David C. Zhang, Madhavan Swaminathan, David Keezer and Satyanarayana Telikepalli School of Electrical and Computer Engineering,

More information

433MHz front-end with the SA601 or SA620

433MHz front-end with the SA601 or SA620 433MHz front-end with the SA60 or SA620 AN9502 Author: Rob Bouwer ABSTRACT Although designed for GHz, the SA60 and SA620 can also be used in the 433MHz ISM band. The SA60 performs amplification of the

More information

Frequently Asked EMC Questions (and Answers)

Frequently Asked EMC Questions (and Answers) Frequently Asked EMC Questions (and Answers) Elya B. Joffe President Elect IEEE EMC Society e-mail: eb.joffe@ieee.org December 2, 2006 1 I think I know what the problem is 2 Top 10 EMC Questions 10, 9

More information

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Presented by Chad Smutzer Mayo Clinic Special Purpose Processor Development

More information

VLSI is scaling faster than number of interface pins

VLSI is scaling faster than number of interface pins High Speed Digital Signals Why Study High Speed Digital Signals Speeds of processors and signaling Doubled with last few years Already at 1-3 GHz microprocessors Early stages of terahertz Higher speeds

More information

MMA C 30KHz-50GHz Traveling Wave Amplifier Data Sheet

MMA C 30KHz-50GHz Traveling Wave Amplifier Data Sheet Features: Frequency Range: 30KHz 50 GHz P1dB: +22 dbm Vout: 7V p-p @50Ω Gain: 15.5 db Vdd =7 V Ids = 200 ma Input and Output Fully Matched to 50 Ω on chip Applications: Fiber optics communication systems

More information

AltiumLive 2017: Component selection for EMC

AltiumLive 2017: Component selection for EMC AltiumLive 2017: Component selection for EMC Martin O Hara Victory Lighting Ltd Munich, 24-25 October 2017 Component Selection Passives resistors, capacitors and inductors Discrete diodes, bipolar transistors,

More information

Design for Guaranteed EMC Compliance

Design for Guaranteed EMC Compliance Clemson Vehicular Electronics Laboratory Reliable Automotive Electronics Automotive EMC Workshop April 29, 2013 Design for Guaranteed EMC Compliance Todd Hubing Clemson University EMC Requirements and

More information

The 3D silicon leader. March 2012

The 3D silicon leader. March 2012 The 3D silicon leader March 2012 IPDiA overview Company located in Caen, Normandy, France Dedicated to manufacturing of integrated passive devices Employing 100 people and operating own wafer fab Strong

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

EM Noise Mitigation in Electronic Circuit Boards and Enclosures

EM Noise Mitigation in Electronic Circuit Boards and Enclosures EM Noise Mitigation in Electronic Circuit Boards and Enclosures Omar M. Ramahi, Lin Li, Xin Wu, Vijaya Chebolu, Vinay Subramanian, Telesphor Kamgaing, Tom Antonsen, Ed Ott, and Steve Anlage A. James Clark

More information

Introduction to EMI/EMC Challenges and Their Solution

Introduction to EMI/EMC Challenges and Their Solution Introduction to EMI/EMC Challenges and Their Solution Dr. Hany Fahmy HSD Application Expert Agilent Technologies Davy Pissort, K.U. Leuven Charles Jackson, Nvidia Charlie Shu, Nvidia Chen Wang, Nvidia

More information

Learning the Curve BEYOND DESIGN. by Barry Olney

Learning the Curve BEYOND DESIGN. by Barry Olney by Barry Olney coulmn BEYOND DESIGN Learning the Curve Currently, power integrity is just entering the mainstream market phase of the technology adoption life cycle. The early market is dominated by innovators

More information

544 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 3, AUGUST /$ IEEE

544 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 3, AUGUST /$ IEEE 544 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 3, AUGUST 2008 Modeling and Measurement of Interlevel Electromagnetic Coupling and Fringing Effect in a Hierarchical Power Distribution Network

More information

CHAPTER 4. Practical Design

CHAPTER 4. Practical Design CHAPTER 4 Practical Design The results in Chapter 3 indicate that the 2-D CCS TL can be used to synthesize a wider range of characteristic impedance, flatten propagation characteristics, and place passive

More information