Power Distribution Status and Challenges

Size: px
Start display at page:

Download "Power Distribution Status and Challenges"

Transcription

1 Greetings from Georgia Institute of Institute Technology of Technology Power Distribution Status and Challenges Presented by Madhavan Swaminathan Packaging Research Center School of Electrical and Computer Engineering

2 Acknowledgement Prof. Joungho Kim KAIST, S. Korea Dr. Istvan Novak SUN, USA Mr. James Libous IBM, USA

3 Introduction Outline Digital Systems - On-Chip - Package and Board - New Technologies Modeling - On-Chip - Package and Board Mixed Signal Systems - RF and Digital Integration Summary

4 Microprocessor Projections μp 140 mm W 70 GB/s 8 GHz

5 Device Leakage Power Density Increasing Courtesy: J. Libous, IBM - In the past, CMOS active power was main concern with power delivery - As CMOS scales to below 90nm, process related device leakage current contributes a significant passive power component -Leakage current can be reduced by using high-k dielectric materials as replacement for silicon dioxide as the gate dielectric -Passive power puts a further strain on the on-chip power distribution system as it erodes the dc IR drop noise budget and compounds the EM problem

6 FMAX (MHz) MHz Increase In FMAX Importance of Power Distribution +/- 100mV +/- 50mV Reliability Wall Courtesy: Intel VCC (V)

7 Power Distribution in Heterogeneous Systems A Major Challenge Wire Bond Excitation of Edge Radiation Decoupling Capacitor Memory Die Sensor Die Signal Line RF Die Digital Processor Die GND PWR Signal Via P/G Via SSN Coupling to Power/Ground Via SSN Generation SSN Coupling to Signal/Clock Via

8 Power Distribution DC to Daylight Problem Package & Board Chip Board and Package Power Distribution Chip Power Distribution VRM Decoupling Capacitors Planes Orthogonal Wiring Low to Medium Frequency High Frequency Chip Package Co-design of Power Distribution is a necessity for Future Systems

9 Digital Systems

10 Power Supply Sensitivity - Scaling reduces Vdd headroom (operating on a steeper part of the delay versus Vdd curve) -As Vdd values drop and power densities increase, IR drop becomes more of an issue -Instantaneous voltage drop and spatial variation must be analyzed and controlled -On-chip decoupling capacitors used as local power source to handle instantaneous current demands -Dcaps must be placed where needed and requires a thorough understanding of chip current demand prior to chip physical design Courtesy: J. Libous, IBM

11 Legacy I/O Voltages Must Be Distributed along with Core Voltages Courtesy: J. Libous, IBM

12 Voltage Islands and Power Domains Design approach to manage the active and passive power problem Voltage Islands - Areas on chip supplied through separate, dedicated power feed Power Domains Areas within an island fed by same Vdd source but independently controlled via intra-island header switches Distribution Challenges dcap isolation, transients due to activation & deactivation of islands, multiple supplies Simple Concepts.. Complex methodology and design tools Courtesy: J. Libous, IBM

13 Target Impedance of Power Distribution Network P/G Impedance Skin effect, dielectric, radiation loss Inductive Impedance Target Impedance Ideal Impedance khz MHz GHz THz Frequency [log] A concept becoming popular in the packaging community Courtesy: J. Kim, KAIST

14 Package on Board 40 mm x 40mm 10 mm x 10mm 25um 25um 25um 25um TSM V1 R1 G1 40um 40um 40um 400um VRM Capacitors 25um 25um 25um 25um 1 mm Capacitors V2 R2 G2 BSM 40um 40um 40um Capacitors 25um 25um 25um 25um er=4 V1 200um G1 200um V2 200um G2 100 mm x 100 mm

15 Impedance seen by Chip on Package With Capacitors Chip Package Resonance No onchip Cap Onchip=150nF Package and Board Decoupling Chip Decoupling Modeling Results

16 DC-DC Converters State of the Art 12x10mm 15A POL converter. Source: SI parameters: POL converter in PC Z out, Z in, V out /V in Output ripple Loop stability Large-signal response Courtesy: I. Novak. SUN

17 Potential Low-Frequency Problem: Peaky/Changing Output Impedance 1.E+00 Impedance magnitude [ohm] 1.E-01 1.E-02 OFF 4V_0A 4V_1A 4V_2A 4V_3A 4V_5A 4V_7A5 4V_10A 1.E-03 1.E+02 1.E+03 1.E+04 1.E+05 1.E+06 1.E+07 Frequency [Hz] Courtesy: I. Novak. SUN

18 Bypass Capacitors State of the Art, Bulk Capacitors Face-down, low-inductance, low-esr, low-profile, D-size polymer tantalum capacitor (curves A on the impedance plot) 1.E-1 Impedance magnitude, inductance [ohm, H] B A B 3.0E-9 2.5E-9 2.0E-9 1.E-2 1.5E-9 Magnitude A Inductance 1.0E-9 5.0E-10 Frequency [Hz] 1.E-3 0.0E+0 1.E+3 1.E+4 1.E+5 1.E+6 1.E+7 Overview of Some Options to Create Low-Q Controlled-ESR Bypass Capacitors, Proceedings of EPEP2004, October 25-27, 2004, Portland, OR Courtesy: I. Novak. SUN

19 Bypass Capacitors State of the Art, Two-terminal Ceramic Capacitors uF uF reverse geometry Impedance magnitude and phase [ohm, deg] Impedance magnitude and phase [ohm, deg] 1.E E E+01 1.E E E+01 1.E E E+00 1.E E E+01 1.E E E+01 1.E E+02 1.E+02 1.E+03 1.E+04 1.E+05 1.E+06 1.E+07 Frequency [Hz] Courtesy: I. Novak. SUN 1.E E E+01 1.E E+00 1.E E+01 1.E E+02 1.E E+02 1.E+06 1.E+07 1.E+08 1.E+09 1.E+10 Frequency [Hz]

20 Bypass Capacitors Multi-terminal terminal Ceramic and Film Capacitors Multi-terminal capacitor Film capacitor 10-mm long film capacitor 60 mils BGA capacitor 1.E pads Impadance magnitude of mm film cap. [ohm] 603-size capacitor 1.E-1 Source: AVX Corporation: Low Inductance Capacitors, S-LICC5M396-C brochure Courtesy: I. Novak. SUN 1.E-2 1.E pads, end position ohm 603 pads, mid position ohm Via array ohm 1.E+6 Frequency [Hz] 1.E+7 1.E+8

21 New Technologies

22 Plane Resonance and Edge Radiation I Return Current Ground Plane I - V + M S P/G Plane Edge Radiation Power Plane I Courtesy: J. Kim, KAIST

23 PCB Edge Radiation excited by 500 MHz Clock Edge Radiation (SA-PPG) [dbm] TV2 SA Measurement TV2 P/G Plane Impedance rd 500 MHz CLK TV2 (7cm,7cm) P/G Plane Impedance [Ω] 14cm Short Via Courtesy: J. Kim, KAIST Frequency [GHz] 14cm

24 Thin Film Embedded Capacitor Vehicle Code Dielectric Thickness Dielectric Constant (DK) Capacitance/cm 2 Total Capacitance (5cm x 5cm with 2 pairs) A 50 μm pf 4.07 nf B 25 μm pf 8.15 nf C 12 μm pf nf D 10 μm pf nf E 10 μm pf nf 50μm 25μm 12μm A with x50 Courtesy: J. Kim, KAIST A with x100 A with x500 B with x500 C with x500

25 Measured PDN Impedance Curve Power/Ground Impedance [db ohm] x 100nF Discrete Capacitors With Thin Film Embedded Capacitor (Thickness : 12μm, DK : 4.6) C With Thin Film Embedded Capacitor (Thickness : 10μm, DK : 25) E Improvement at low frequency range with High-DK Embedded Material TM02/20 Mode Resonance (5cm x 5cm) Frequency [MHz] Significant Improvement over GHz With Thin Film Embedded Capacitor Significant improvement over GHz with Thin Film Embedded Capacitor (Very low ESL of Embedded Capacitor) More improvement at low frequency range with high-dk embedded capacitor (More Capacitance) Courtesy: J. Kim, KAIST

26 Low ESL Embedded Decoupling Capacitors in the Package Total Bump Inductance 6 fh Negligible Loop inductance for charge transfer Dielectric thickness of 0.1um leads to ph spreading inductance 10 GHz Microprocessor (Bare die) 50um balls on 100um pitch Digital ICs (µp, Memory) L=27pH per Vdd Gnd ball Low CTE, High Modulus Composite Substrate Zero signal delay penalty Embedded decoupling 1 3μF/cm 2 charge reservoir

27 Technical Innovation in Embedded Capacitors Low temperature hydrothermal synthesis of BaTiO 3 <100 C Process Temperature nm Thick Film Capacitance Density > 1µF/cm 2 Achieved Loss Tangent ~ 0.05 Sol-gel synthesis of BaTiO 3, SrTiO 3 High Temperature Process (~600 C) Rapid Thermal Process Developed (3 min) nm Films Processed on Ni/Ti Foils Lamination Process for Integration Capacitance Density ~500nF/cm 2 Loss Tangent ~ Dielectric Constant Loss Tangent Frequency (GHz) High frequency measurement 0

28 Modeling

29 On-chip Power Distribution Network Cross section of ASIC power distribution* 3D view of on-chip power grid

30 Finite Difference Time Domain Method Z Y = R = G dc dc + + jϖl jϖc ext ext jϖl1 jϖl / R 1 jϖc jϖc / G 1, SiO2 hox Si hsi Gnd First order Debye equivalent circuit Cross section of on-chip power grid

31 Simulation of Power Supply Noise using FDTD Chip composed of blocks with different power densities (unit=mw/mm 2 ) Size(mm mm) Metal Layers Nodes Element (RLGC) (M1 M6) 5,661,354 22,645,380

32 Modeling of Core Power Distribution in Package and Board Unit cell size: X cm * Port 1: ( 0.677, ) Port 2: ( 1.058, ) Port 3: ( 3.471, ) Port 4: ( 3.09, ) cm Decaps Δ Ferrite + C25 Original Plane Grid Courtesy: Kodak

33 Modeling of Multi-layered Planes in Packages and Boards using Transmission Matrix Method Horizontal plane pair approximated using discretized RLGC parameters Matrix Reduction Planes connected using via inductance

34 Model to Hardware Correlation Z11 Blue: Measurement Red: T Matrix Bare Board for 1V8 Plane

35 Modeling of I/O Power Distribution 3. Non-linear Macro-models Of drivers Port 2 Port V (Vdd) Gnd 1. Macro-models of PDN Port 3 PDN Macro-model 0.3 V (Vterm) 50 Ω Differential Driver Gnd Gnd 50 Ω 50 Ω Differential Transmission Lines (50 Ω) 2. RLGC Models or Macro-models of Transmission Lines

36 Coupled Signal and Power Distribution Simulation using TMM

37 Test Case: IBM HSTL_B 350MHz Driver port2 port4 (V dd = 1.5 V) port5 port1 port3 10 drivers V(port1) V(port2) g x g1 V_ne(t) VDD transmission line Time g4 GND g5 V_fe(t) 1 pf g3 Simulation time in (sec) Blue HSTL model Red Macro-model Number of drivers switching Time (s) 100X Speed-up

38 [Top View] Example from SUN [Cross Section] 195 Core Decoupling Capacitor SRAM 274 Interconnects 750 MHz Microprocessor Interconnects Interconnects Gnd Core Vdd Gnd I/O Vdd Gnd I/O Vdd Interconnects y 128 Interconnects Connector Interconnects Gnd I/O Vdd Gnd x 178 I/O Decoupling Capacitor

39 [Frequency Domain] I/O PDS Noise [Time Domain] nsec (250 MHz) nsec (125 MHz) -20 Noise Power (db) MHz (Connector Bus) 250 MHz (SRAM Bus) 750 MHz 1500 MHz Volts Noise Frequency (MHz) Time (nsec) Simulation Time: 124 Seconds with 20 ps time step Blue: Measurements, Red: Modeling I/O switching noise is caused by the return current flowing on the I/O vdd/gnd planes.

40 Heterogeneous Systems

41 Heterogeneous Integration Digital-Analog Coupling f1 f2 Multiband antenna Multiband filter Multiband Balun Multiband Low Noise Amplifier Multiband Differential Downconverter ADC + Processor Multiple Signal Generator PACKAGE DOMAIN CHIP DOMAIN Example: a/b/g; WiMaX; UWB; Handset

42 Electromagnetic Coupling in Mixed-Signal Systems Digital circuit GND layer Current EM wave Load RF circuit VDD layer Dielectric layer (ε r = 4.4) EM coupling

43 Isolation Methods Available Split planes & Ferrite bead - ferrite bead is placed between split planes for DC connection - require a single power supply - still poor isolation at high frequencies due to EM coupling through a gap Power-plane Segmentation - conducting neck is placed between split planes for DC connection - requires a single power supply - poor isolation except narrow frequency range Better isolation technique is needed for mixed-signal system applications

44 EBG Structure Two-dimensional (2-D) square lattice with each element consisting of a metal patch with two connecting metal branches. Metal branches introduce additional inductance and capacitance is mainly formed by metal patches and corresponding parts of other plane. Distributed LC network. Schematic of Novel EBG Structure in GND plane Unit Cell of Novel EBG Structure

45 Noise Isolation using Electronic Bandgap Structures Substrate Coupling 300MHz FPGA with 2.13GHz LNA Patterned Ground Plane EBG Response

46 Noise Reduction with EBG Structure th harmonic noise peak at LNA output for mixed-signal system without AI-EBG structure Power (dbm) th harmonic noise peak at LNA output for mixed-signal system with AI-EBG structure E E E E E E E+09 Frequency (Hz)

47 Digital Systems Modeling Increasing chip power and technology scaling placing challenges on IR drop, EM, leakage and active power Voltage islands on-chip and multiple I/O voltages making the design complex Decoupling capacitors on package running out of steam Embedding decoupling increasing design complexity On-chip Modeling: Still very complex due to the feature sizes, irregular layouts and uncertainty in the return current path Chip Package Interface: Integrated modeling of chip and package still doesn t exist Estimation of current signature a big challenge Modeling of isolation in mixed signal systems with low noise floors Heterogeneous Integration Summary Achieving -85dBm over broad frequency a challenge 3D Integration is making it worse

48 Reference M. Swaminathan, J. Kim, I. Novak and J. Libous, Power Distribution Networks for System on a Package: Status And Challenges, IEEE Trans. On Advanced Packaging, pp , Vol. 27, No. 2, May 2004

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems

Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems Prathap Muthana, Madhavan Swaminathan, Rao Tummala, P.Markondeya Raj, Ege Engin,Lixi

More information

Design of the Power Delivery System for Next Generation Gigahertz Packages

Design of the Power Delivery System for Next Generation Gigahertz Packages Design of the Power Delivery System for Next Generation Gigahertz Packages Madhavan Swaminathan Professor School of Electrical and Computer Engg. Packaging Research Center madhavan.swaminathan@ece.gatech.edu

More information

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Youngwon Kim, Chunghyun Ryu, Jongbae Park, and Joungho Kim Terahertz Interconnection and Package Laboratory,

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

Basic Concepts C HAPTER 1

Basic Concepts C HAPTER 1 C HAPTER 1 Basic Concepts Power delivery is a major challenge in present-day systems. This challenge is expected to increase in the next decade as systems become smaller and new materials are introduced

More information

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Presented by Chad Smutzer Mayo Clinic Special Purpose Processor Development

More information

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Transceiver Portfolio Workshops 2009 Question What is Your PDN Design Methodology? Easy Complex Historical Full SPICE simulation

More information

Advanced Topics in EMC Design. Issue 1: The ground plane to split or not to split?

Advanced Topics in EMC Design. Issue 1: The ground plane to split or not to split? NEEDS 2006 workshop Advanced Topics in EMC Design Tim Williams Elmac Services C o n s u l t a n c y a n d t r a i n i n g i n e l e c t r o m a g n e t i c c o m p a t i b i l i t y e-mail timw@elmac.co.uk

More information

EMI. Chris Herrick. Applications Engineer

EMI. Chris Herrick. Applications Engineer Fundamentals of EMI Chris Herrick Ansoft Applications Engineer Three Basic Elements of EMC Conduction Coupling process EMI source Emission Space & Field Conductive Capacitive Inductive Radiative Low, Middle

More information

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest The Inductance Loop Power Distribution in the Semiconductor Test Interface Jason Mroczkowski Multitest j.mroczkowski@multitest.com Silicon Valley Test Conference 2010 1 Agenda Introduction to Power Delivery

More information

Ensuring Signal and Power Integrity for High-Speed Digital Systems

Ensuring Signal and Power Integrity for High-Speed Digital Systems Ensuring Signal and Power Integrity for High-Speed Digital Systems An EMC Perspective Christian Schuster Institut für Theoretische Elektrotechnik Technische Universität Hamburg-Harburg (TUHH) Invited Presentation

More information

Design Fundamentals by A. Ciccomancini Scogna, PhD Suppression of Simultaneous Switching Noise in Power and Ground Plane Pairs

Design Fundamentals by A. Ciccomancini Scogna, PhD Suppression of Simultaneous Switching Noise in Power and Ground Plane Pairs Design Fundamentals by A. Ciccomancini Scogna, PhD Suppression of Simultaneous Switching Noise in Power and Ground Plane Pairs Photographer: Janpietruszka Agency: Dreamstime.com 36 Conformity JUNE 2007

More information

A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping

A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping Jie Gu, Hanyong Eom and Chris H. Kim Department of Electrical and Computer Engineering University of Minnesota, Minneapolis

More information

Course Introduction. Content 15 pages. Learning Time 30 minutes

Course Introduction. Content 15 pages. Learning Time 30 minutes Course Introduction Purpose This course discusses techniques for analyzing and eliminating noise in microcontroller (MCU) and microprocessor (MPU) based embedded systems. Objectives Learn about how packaging

More information

Intro. to PDN Planning PCB Stackup Technology Series

Intro. to PDN Planning PCB Stackup Technology Series Introduction to Power Distribution Network (PDN) Planning Bill Hargin In-Circuit Design b.hargin@icd.com.au 425-301-4425 Intro. to PDN Planning 1. Intro/Overview 2. Bypass/Decoupling Strategy 3. Plane

More information

Characterization of Alternate Power Distribution Methods for 3D Integration

Characterization of Alternate Power Distribution Methods for 3D Integration Characterization of Alternate Power Distribution Methods for 3D Integration David C. Zhang, Madhavan Swaminathan, David Keezer and Satyanarayana Telikepalli School of Electrical and Computer Engineering,

More information

Measurement Results for a High Throughput MCM

Measurement Results for a High Throughput MCM Measurement Results for a High Throughput MCM Funding: Paul Franzon Toby Schaffer, Alan Glaser, Steve Lipa North Carolina State University paulf@ncsu.edu www.ece.ncsu.edu/erl Outline > Heterogeneous System

More information

Frequency-Domain Characterization of Power Distribution Networks

Frequency-Domain Characterization of Power Distribution Networks Frequency-Domain Characterization of Power Distribution Networks Istvan Novak Jason R. Miller ARTECH H O U S E BOSTON LONDON artechhouse.com Preface Acknowledgments xi xv CHAPTER 1 Introduction 1 1.1 Evolution

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields

Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields James C. Rautio, James D. Merrill, and Michael J. Kobasa Sonnet Software, North Syracuse, NY, 13212, USA Abstract Patterned

More information

Electromagnetic Analysis of AC Coupling Capacitor Mounting Structures

Electromagnetic Analysis of AC Coupling Capacitor Mounting Structures Simbeor Application Note #2008_02, April 2008 2008 Simberian Inc. Electromagnetic Analysis of AC Coupling Capacitor Mounting Structures Simberian, Inc. www.simberian.com Simbeor : Easy-to-Use, Efficient

More information

MPC 5534 Case study. E. Sicard (1), B. Vrignon (2) Toulouse France. Contact : web site :

MPC 5534 Case study. E. Sicard (1), B. Vrignon (2) Toulouse France. Contact : web site : MPC 5534 Case study E. Sicard (1), B. Vrignon (2) (1) INSA-GEI, 135 Av de Rangueil 31077 Toulouse France (2) Freescale Semiconductors, Toulouse, France Contact : etienne.sicard@insa-toulouse.fr web site

More information

Decoupling capacitor uses and selection

Decoupling capacitor uses and selection Decoupling capacitor uses and selection Proper Decoupling Poor Decoupling Introduction Covered in this topic: 3 different uses of decoupling capacitors Why we need decoupling capacitors Power supply rail

More information

Power Plane and Decoupling Optimization. Isaac Waldron

Power Plane and Decoupling Optimization. Isaac Waldron Power Plane and Decoupling Optimization p Isaac Waldron Overview Frequency- and time-domain power distribution system specifications Decoupling design example Bare board Added d capacitors Buried Capacitance

More information

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB 3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB Tae Hong Kim, Hyungsoo Kim, Jun So Pak, and Joungho Kim Terahertz

More information

AltiumLive 2017: Component selection for EMC

AltiumLive 2017: Component selection for EMC AltiumLive 2017: Component selection for EMC Martin O Hara Victory Lighting Ltd Munich, 24-25 October 2017 Component Selection Passives resistors, capacitors and inductors Discrete diodes, bipolar transistors,

More information

How to Design Good PDN Filters

How to Design Good PDN Filters How to Design Good PDN Filters Istvan Novak, Samtec This session was presented as part of the DesignCon 2019 Conference and Expo. For more information on the event, please go to DesignCon.com 1 How to

More information

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity Slide -1 Ten Habits of Highly Successful Board Designers or Design for Speed: A Designer s Survival Guide to Signal Integrity with Dr. Eric Bogatin, Signal Integrity Evangelist, Bogatin Enterprises, www.bethesignal.com

More information

Course Introduction. Content 16 pages. Learning Time 30 minutes

Course Introduction. Content 16 pages. Learning Time 30 minutes Course Introduction Purpose This course discusses techniques for analyzing and eliminating noise in microcontroller (MCU) and microprocessor (MPU) based embedded systems. Objectives Learn what EMI is and

More information

Passive Components around ADAS Applications By Ron Demcko, AVX Fellow, AVX Corporation

Passive Components around ADAS Applications By Ron Demcko, AVX Fellow, AVX Corporation Passive Components around ADAS Applications By Ron Demcko, AVX Fellow, AVX Corporation The importance of high reliability - high performance electronics is accelerating as Advanced Driver Assistance Systems

More information

Minimizing Coupling of Power Supply Noise Between Digital and RF Circuit Blocks in Mixed Signal Systems

Minimizing Coupling of Power Supply Noise Between Digital and RF Circuit Blocks in Mixed Signal Systems Minimizing Coupling of Power Supply Noise Between Digital and RF Circuit Blocks in Mixed Signal Systems Satyanarayana Telikepalli, Madhavan Swaminathan, David Keezer Department of Electrical & Computer

More information

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity Slide -1 Ten Habits of Highly Successful Board Designers or Design for Speed: A Designer s Survival Guide to Signal Integrity with Dr. Eric Bogatin, Signal Integrity Evangelist, Bogatin Enterprises, www.bethesignal.com

More information

A passive circuit based RF optimization methodology for wireless sensor network nodes. Article (peer-reviewed)

A passive circuit based RF optimization methodology for wireless sensor network nodes. Article (peer-reviewed) Title Author(s) Editor(s) A passive circuit based RF optimization methodology for wireless sensor network nodes Zheng, Liqiang; Mathewson, Alan; O'Flynn, Brendan; Hayes, Michael; Ó Mathúna, S. Cian Wu,

More information

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction Manufacture and Performance of a Z-interconnect HDI Circuit Card Michael Rowlands, Rabindra Das, John Lauffer, Voya Markovich EI (Endicott Interconnect Technologies) 1093 Clark Street, Endicott, NY 13760

More information

Development and Validation of IC Models for EMC

Development and Validation of IC Models for EMC Development and Validation of D. Beetner Missouri University University of Missouri of Science - Rolland Technology UMR EMC Laboratory 1 Who is the UMR/MS&T EMC Laboratory? People 5 professors 3 graduate

More information

PRODUCT DATASHEET CGY2102UH/C Gb/s TransImpedance Amplifier DESCRIPTION FEATURES APPLICATIONS

PRODUCT DATASHEET CGY2102UH/C Gb/s TransImpedance Amplifier DESCRIPTION FEATURES APPLICATIONS PRODUCT DATASHEET 2.5 Gb/s TransImpedance Amplifier DESCRIPTION The CGY2102UH is a high performance 2.5 Gb/s TransImpedance Amplifier (TIA). Typical use is as a low noise preamplifier for lightwave receiver

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information

Understanding, measuring, and reducing output noise in DC/DC switching regulators

Understanding, measuring, and reducing output noise in DC/DC switching regulators Understanding, measuring, and reducing output noise in DC/DC switching regulators Practical tips for output noise reduction Katelyn Wiggenhorn, Applications Engineer, Buck Switching Regulators Robert Blattner,

More information

Silicon Interposers enable high performance capacitors

Silicon Interposers enable high performance capacitors Interposers between ICs and package substrates that contain thin film capacitors have been used previously in order to improve circuit performance. However, with the interconnect inductance due to wire

More information

PI3DPX1207B Layout Guideline. Table of Contents. 1 Layout Design Guideline Power and GROUND High-speed Signal Routing...

PI3DPX1207B Layout Guideline. Table of Contents. 1 Layout Design Guideline Power and GROUND High-speed Signal Routing... PI3DPX1207B Layout Guideline Table of Contents 1 Layout Design Guideline... 2 1.1 Power and GROUND... 2 1.2 High-speed Signal Routing... 3 2 PI3DPX1207B EVB layout... 8 3 Related Reference... 8 Page 1

More information

Lecture 17. Low Power Circuits and Power Delivery

Lecture 17. Low Power Circuits and Power Delivery Lecture 17 Low Power Circuits and Power Delivery Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2007 Ron Ho and Mark Horowitz w/ slides used from David Ayers 1 Power Delivery

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

/14/$ IEEE 470

/14/$ IEEE 470 Analysis of Power Distribution Network in Glass, Silicon Interposer and PCB Youngwoo Kim, Kiyeong Kim Jonghyun Cho, and Joungho Kim Department of Electrical Engineering, KAIST Daejeon, South Korea youngwoo@kaist.ac.kr

More information

EM Noise Mitigation in Electronic Circuit Boards and Enclosures

EM Noise Mitigation in Electronic Circuit Boards and Enclosures EM Noise Mitigation in Electronic Circuit Boards and Enclosures Omar M. Ramahi, Lin Li, Xin Wu, Vijaya Chebolu, Vinay Subramanian, Telesphor Kamgaing, Tom Antonsen, Ed Ott, and Steve Anlage A. James Clark

More information

EMI Reduction on an Automotive Microcontroller

EMI Reduction on an Automotive Microcontroller EMI Reduction on an Automotive Microcontroller Design Automation Conference, July 26 th -31 st, 2009 Patrice JOUBERT DORIOL 1, Yamarita VILLAVICENCIO 2, Cristiano FORZAN 1, Mario ROTIGNI 1, Giovanni GRAZIOSI

More information

AN-1370 APPLICATION NOTE

AN-1370 APPLICATION NOTE APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA 02062-9106, U.S.A. Tel: 781.329.4700 Fax: 781.461.3113 www.analog.com Design Implementation of the ADF7242 Pmod Evaluation Board Using the

More information

Non-Ideal Behavior of Components

Non-Ideal Behavior of Components Non-Ideal Behavior of Components Todd H. Hubing Dept. of Electrical and Computer Engineering Clemson, University Clemson, SC 29634 USA email: hubing@clemson.edu Telephone: 1-864-656-7219 Circuit Schematics

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

What is New about Thin Laminates in 2013?

What is New about Thin Laminates in 2013? PCBDesign 007 QuietPower column What is New about Thin Laminates in 2013? Istvan Novak, Oracle, February 2013 It is almost two years ago that the QuietPower column Thin Laminates: Buried Capacitance or

More information

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2 Design Space Exploration for Robust Power Delivery in TSV Based 3-D Systems-on-Chip Suhas M. Satheesh High-Speed Fabrics Team NVIDIA Santa Clara, California 955 ssatheesh@nvidia.com Emre Salman Department

More information

Application Note 5525

Application Note 5525 Using the Wafer Scale Packaged Detector in 2 to 6 GHz Applications Application Note 5525 Introduction The is a broadband directional coupler with integrated temperature compensated detector designed for

More information

Measurement and Comparative S21 Performance of Raw and Mounted Decoupling Capacitors

Measurement and Comparative S21 Performance of Raw and Mounted Decoupling Capacitors Measurement and Comparative S21 Performance of Raw and Mounted Decoupling Capacitors Summary Introduction Capacitors All IC power systems require some level of passive decoupling. The ability to accurately

More information

Synthesis of Optimal On-Chip Baluns

Synthesis of Optimal On-Chip Baluns Synthesis of Optimal On-Chip Baluns Sharad Kapur, David E. Long and Robert C. Frye Integrand Software, Inc. Berkeley Heights, New Jersey Yu-Chia Chen, Ming-Hsiang Cho, Huai-Wen Chang, Jun-Hong Ou and Bigchoug

More information

Automotive PCB SI and PI analysis

Automotive PCB SI and PI analysis Automotive PCB SI and PI analysis SI PI Analysis Signal Integrity S-Parameter Timing analysis Eye diagram Power Integrity Loop / Partial inductance DC IR-Drop AC PDN Impedance Power Aware SI Signal Integrity

More information

Course Introduction Purpose Objectives Content Learning Time

Course Introduction Purpose Objectives Content Learning Time Course Introduction Purpose This course discusses techniques for analyzing and eliminating noise in microcontroller (MCU) and microprocessor (MPU) based embedded systems. Objectives Learn about a method

More information

IC Decoupling and EMI Suppression using X2Y Technology

IC Decoupling and EMI Suppression using X2Y Technology IC Decoupling and EMI Suppression using X2Y Technology Summary Decoupling and EMI suppression of ICs is a complex system level engineering problem complicated by the desire for faster switching gates,

More information

A Novel Embedded Common-mode Filter for above GHz differential signals based on Metamaterial concept. Tzong-Lin Wu

A Novel Embedded Common-mode Filter for above GHz differential signals based on Metamaterial concept. Tzong-Lin Wu c //3 A Novel Embedded Common-mode Filter for above GHz differential signals based on Metamaterial concept Tzong-Lin Wu Professor Graduate Institute of Communication Engineering, National Taiwan University,

More information

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV)

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV) Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV) Jihye Kim, Insu Hwang, Youngwoo Kim, Heegon Kim and Joungho Kim Department of Electrical Engineering

More information

Decoupling Capacitance

Decoupling Capacitance Decoupling Capacitance Nitin Bhardwaj ECE492 Department of Electrical and Computer Engineering Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling

More information

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications 3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications Darryl Kostka, CST of America Taigon Song and Sung Kyu Lim, Georgia Institute of Technology Outline Introduction TSV Array

More information

Signal Integrity Modeling and Simulation for IC/Package Co-Design

Signal Integrity Modeling and Simulation for IC/Package Co-Design Signal Integrity Modeling and Simulation for IC/Package Co-Design Ching-Chao Huang Optimal Corp. October 24, 2004 Why IC and package co-design? The same IC in different packages may not work Package is

More information

Wideband On-die Power Supply Decoupling in High Performance DRAM

Wideband On-die Power Supply Decoupling in High Performance DRAM Wideband On-die Power Supply Decoupling in High Performance DRAM Timothy M. Hollis, Senior Member of the Technical Staff Abstract: An on-die decoupling scheme, enabled by memory array cell technology,

More information

Design and Modeling of Through-Silicon Vias for 3D Integration

Design and Modeling of Through-Silicon Vias for 3D Integration Design and Modeling of Through-Silicon Vias for 3D Integration Ivan Ndip, Brian Curran, Gerhard Fotheringham, Jurgen Wolf, Stephan Guttowski, Herbert Reichl Fraunhofer IZM & BeCAP @ TU Berlin IEEE Workshop

More information

77 GHz VCO for Car Radar Systems T625_VCO2_W Preliminary Data Sheet

77 GHz VCO for Car Radar Systems T625_VCO2_W Preliminary Data Sheet 77 GHz VCO for Car Radar Systems Preliminary Data Sheet Operating Frequency: 76-77 GHz Tuning Range > 1 GHz Output matched to 50 Ω Application in Car Radar Systems ESD: Electrostatic discharge sensitive

More information

Novel Modeling Strategy for a BCI set-up applied in an Automotive Application

Novel Modeling Strategy for a BCI set-up applied in an Automotive Application Novel Modeling Strategy for a BCI set-up applied in an Automotive Application An industrial way to use EM simulation tools to help Hardware and ASIC designers to improve their designs for immunity tests.

More information

Flip-Chip for MM-Wave and Broadband Packaging

Flip-Chip for MM-Wave and Broadband Packaging 1 Flip-Chip for MM-Wave and Broadband Packaging Wolfgang Heinrich Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH) Berlin / Germany with contributions by F. J. Schmückle Motivation Growing markets

More information

Decoupling capacitor placement

Decoupling capacitor placement Decoupling capacitor placement Covered in this topic: Introduction Which locations need decoupling caps? IC decoupling Capacitor lumped model How to maximize the effectiveness of a decoupling cap Parallel

More information

Noise Figure Degradation Analysis of Power/Ground Noise on 900MHz LNA for UHF RFID

Noise Figure Degradation Analysis of Power/Ground Noise on 900MHz LNA for UHF RFID Noise Figure Degradation Analysis of Power/Ground Noise on 900MHz LNA for UHF RFID Kyoungchoul Koo, Hyunjeong Park, Yujeong Shim and Joungho Kim Terahertz Interconnection and Package Laboratory, Dept.

More information

How the Braid Impedance of Instrumentation Cables Impact PI and SI Measurements

How the Braid Impedance of Instrumentation Cables Impact PI and SI Measurements How the Braid Impedance of Instrumentation Cables Impact PI and SI Measurements Istvan Novak (*), Jim Nadolny (*), Gary Biddle (*), Ethan Koether (**), Brandon Wong (*) (*) Samtec, (**) Oracle This session

More information

Features. = +25 C, 50 Ohm System, Vcc = 5V

Features. = +25 C, 50 Ohm System, Vcc = 5V Typical Applications Prescaler for DC to X Band PLL Applications: Satellite Communication Systems Fiber Optic Point-to-Point and Point-to-Multi-Point Radios VSAT Functional Diagram v4.9 Features DIVIDE-BY-8,

More information

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design Chapter 6 Case Study: 2.4-GHz Direct Conversion Receiver The chapter presents a 0.25-µm CMOS receiver front-end designed for 2.4-GHz direct conversion RF transceiver and demonstrates the necessity and

More information

Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material

Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material Simulation and Design of Printed Circuit Boards Utilizing Novel Embedded Capacitance Material April 28, 2010 Yu Xuequan, Yanhang, Zhang Gezi, Wang Haisan Huawei Technologies CO., LTD. Shanghai, China Tony_yu@huawei.com

More information

AVX Multilayer Ceramic Transient Voltage Suppressors TVS Protection and EMI Attenuation in a Single Chip IN L S L S

AVX Multilayer Ceramic Transient Voltage Suppressors TVS Protection and EMI Attenuation in a Single Chip IN L S L S GENERAL DESCRIPTION AVX has combined the best electrical characteristics of its TransGuard Transient Voltage Suppressors (TVS) and its Feedthru Capacitors into a single chip for state-of-the-art overvoltage

More information

SSO Noise, Eye Margin, and Jitter Characterization for I/O Power Integrity

SSO Noise, Eye Margin, and Jitter Characterization for I/O Power Integrity DESIGNCON 2009 SSO Noise, Eye Margin, and Jitter Characterization for I/O Power Integrity Vishram S. Pandit, Intel Corporation [vishram.s.pandit@intel.com, (916)356-2059] Ashish N. Pardiwala, Intel Corporation

More information

Vishram S. Pandit, Intel Corporation (916) ]

Vishram S. Pandit, Intel Corporation (916) ] DesignCon 2008 Simulation and Characterization of GHz On-Chip Power Delivery Network (PDN) Vishram S. Pandit, Intel Corporation [vishram.s.pandit@intel.com, (916)356-2059] Woong Hwan Ryu, Intel Corporation

More information

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi High Speed Design Issues and Jitter Estimation Techniques Jai Narayan Tripathi (jainarayan.tripathi@st.com) Outline Part 1 High-speed Design Issues Signal Integrity Power Integrity Jitter Power Delivery

More information

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS

A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS A DESIGN EXPERIMENT FOR MEASUREMENT OF THE SPECTRAL CONTENT OF SUBSTRATE NOISE IN MIXED-SIGNAL INTEGRATED CIRCUITS Marc van Heijningen, John Compiet, Piet Wambacq, Stéphane Donnay and Ivo Bolsens IMEC

More information

INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT

INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT ABSTRACT: This paper describes the design of a high-efficiency energy harvesting

More information

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Brett Sawyer, Bruce C. Chou, Saumya Gandhi, Jack Mateosky, Venky Sundaram, and Rao Tummala 3D

More information

Development and Validation of a Microcontroller Model for EMC

Development and Validation of a Microcontroller Model for EMC Development and Validation of a Microcontroller Model for EMC Shaohua Li (1), Hemant Bishnoi (1), Jason Whiles (2), Pius Ng (3), Haixiao Weng (2), David Pommerenke (1), and Daryl Beetner (1) (1) EMC lab,

More information

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014 Considerations in High-Speed High Performance Die-Package-Board Co-Design Jenny Jiang Altera Packaging Department October 2014 Why Co-Design? Complex Multi-Layer BGA Package Horizontal and vertical design

More information

EECS 473 Advanced Embedded Systems. Lecture 9: Groups introduce their projects Power integrity issues

EECS 473 Advanced Embedded Systems. Lecture 9: Groups introduce their projects Power integrity issues EECS 473 Advanced Embedded Systems Lecture 9: Groups introduce their projects Power integrity issues Project groups Please give a 2-3 minute overview of your project. Half the groups will do this each

More information

Quick guide to Power. V1.2.1 July 29 th 2013

Quick guide to Power. V1.2.1 July 29 th 2013 Quick guide to Power Distribution ib ti Network Design V1.2.1 July 29 th 2013 High level High current, high transient Power Distribution Networks (PDN) need to be able to respond to changes and transients

More information

A Co-design Methodology of Signal Integrity and Power Integrity

A Co-design Methodology of Signal Integrity and Power Integrity DesignCon 2006 A Co-design Methodology of Signal Integrity and Power Integrity Woong Hwan Ryu, Intel Corporation woong.hwan.ryu@intel.com Min Wang, Intel Corporation min.wang@intel.com 1 Abstract As PCB

More information

CHAPTER - 6 PIN DIODE CONTROL CIRCUITS FOR WIRELESS COMMUNICATIONS SYSTEMS

CHAPTER - 6 PIN DIODE CONTROL CIRCUITS FOR WIRELESS COMMUNICATIONS SYSTEMS CHAPTER - 6 PIN DIODE CONTROL CIRCUITS FOR WIRELESS COMMUNICATIONS SYSTEMS 2 NOTES 3 INTRODUCTION PIN DIODE CONTROL CIRCUITS FOR WIRELESS COMMUNICATIONS SYSTEMS Chapter 6 discusses PIN Control Circuits

More information

EECS 473 Advanced Embedded Systems. Lecture 9: Groups introduce their projects Power integrity issues

EECS 473 Advanced Embedded Systems. Lecture 9: Groups introduce their projects Power integrity issues EECS 473 Advanced Embedded Systems Lecture 9: Groups introduce their projects Power integrity issues Final proposal due today Final proposal I should have signed group agreement now. I should have feedback

More information

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Authors: Rick Brooks, Cisco, ricbrook@cisco.com Jane Lim, Cisco, honglim@cisco.com Udupi Harisharan, Cisco,

More information

Automatic Package and Board Decoupling Capacitor Placement Using Genetic Algorithms and M-FDM

Automatic Package and Board Decoupling Capacitor Placement Using Genetic Algorithms and M-FDM June th 2008 Automatic Package and Board Decoupling Capacitor Placement Using Genetic Algorithms and M-FDM Krishna Bharath, Ege Engin and Madhavan Swaminathan School of Electrical and Computer Engineering

More information

Plane Crazy, Part 2 BEYOND DESIGN. by Barry Olney

Plane Crazy, Part 2 BEYOND DESIGN. by Barry Olney by Barry Olney column BEYOND DESIGN Plane Crazy, Part 2 In my recent four-part series on stackup planning, I described the best configurations for various stackup requirements. But I did not have the opportunity

More information

Embedded inductor design and electromagnetic compatibility issues

Embedded inductor design and electromagnetic compatibility issues Embedded inductor design and electromagnetic compatibility issues J. Kundrata, D.Bandic and A. Baric University of Zagreb IMOLA Final Workshop Slide 1/22 Outline Design challenges Planar inductor designs

More information

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer 2016 IEEE 66th Electronic Components and Technology Conference Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer Youngwoo Kim, Jinwook Song, Subin Kim

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

Product Datasheet Revision: April Applications

Product Datasheet Revision: April Applications Applications Wide Bandwidth Millimeter-wave Imaging RX Chains Sensors Radar Short Haul / High capacity Links X=34 mm Y=16 mm Product Features RF Frequency: 8 to 1 GHz effective bandwidth: Linear Gain (average

More information

PCB Design Guidelines for GPS chipset designs. Section 1. Section 2. Section 3. Section 4. Section 5

PCB Design Guidelines for GPS chipset designs. Section 1. Section 2. Section 3. Section 4. Section 5 PCB Design Guidelines for GPS chipset designs The main sections of this white paper are laid out follows: Section 1 Introduction Section 2 RF Design Issues Section 3 Sirf Receiver layout guidelines Section

More information

30% PAE W-band InP Power Amplifiers using Sub-quarter-wavelength Baluns for Series-connected Power-combining

30% PAE W-band InP Power Amplifiers using Sub-quarter-wavelength Baluns for Series-connected Power-combining 2013 IEEE Compound Semiconductor IC Symposium, October 13-15, Monterey, C 30% PAE W-band InP Power Amplifiers using Sub-quarter-wavelength Baluns for Series-connected Power-combining 1 H.C. Park, 1 S.

More information

Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication

Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication Zhaoran (Rena) Huang Assistant Professor Department of Electrical, Computer and System Engineering

More information

Effect of Aging on Power Integrity of Digital Integrated Circuits

Effect of Aging on Power Integrity of Digital Integrated Circuits Effect of Aging on Power Integrity of Digital Integrated Circuits A. Boyer, S. Ben Dhia Alexandre.boyer@laas.fr Sonia.bendhia@laas.fr 1 May 14 th, 2013 Introduction and context Long time operation Harsh

More information