/14/$ IEEE 470

Size: px
Start display at page:

Download "/14/$ IEEE 470"

Transcription

1 Analysis of Power Distribution Network in Glass, Silicon Interposer and PCB Youngwoo Kim, Kiyeong Kim Jonghyun Cho, and Joungho Kim Department of Electrical Engineering, KAIST Daejeon, South Korea Venky Sundaram and Rao Tummala 3D Systems Packaging Research Center Georgia Institute of Technology Atlanta, GA 30332, USA Abstract 3D integration using a glass interposer and through glass via technologies is expected to improve the performance of a whole system significantly. However, due to the high quality factor of the glass substrate, the sharp impedance peaks on the Power Distribution Networks arise at the resonances. When the mode resonances occur, performance of a whole system could be degraded. Segmentation based impedanceestimation was used to analyze the PDN impedance and analyzed system degradation at resonance frequencies. To maximize advantages of the glass interposers, the PDN should be carefully designed to suppress the resonances. Considering the current status of the glass fabrication processes, we propose that placing the ground vias near the signal vias is the most promising solution for maximizing the advantages of the glass interposers. Keywords interposer; PDN; glass; silicon; pcb; resonance, segmentation method I. INTRODUCTION Recently, semiconductor industries have difficulties to overcome technical challenges associated with the performance saturation and limitation of CMOS technologies. 3D-Integration is expected to be the next generation solution for system integration to achieve higher electrical performance and at the same time reducing size of the whole systems. However, it is still difficult to produce 3D products due to low yield and high cost. Because these factors are critical to the companies and customers, a new packaging technology using an interposer, so called 2.5D integration is proposed. In the 2.5D integration, an interposer which is an interconnecting medium is used to enable communication between various ICs such as 3D stacked DRAMs, RF sensors and processors. Interposers must have finer line pitch compared to PCB/PKGs to mount ICs more compactly and have more I/Os. Because of these reasons 2.5D-ICs using an interposer have comparable electrical performance and size to 3D-ICs. For the interposer substrate, silicon was proposed because CMOS process has the longest history thus most advanced among the semiconductor processes. Therefore using silicon as an interposer substrate can enable very fine metal routing. However, silicon shows high insertion loss which can degrade performance of the whole system at the high frequency due to conductivity and additionally very high in cost resulting from the fine on-chip metal processes. To overcome these difficulties that hinder 2.5D integration, glass can be used for the interposer substrates. Compared to silicon interposers which are fabricated by processing the wafers, glass interposers use large panel processes which has higher yield [1]. Also glass has no conductivity which will result in low insertion loss of the signal at the high frequency [2]. Even though minimum metal width and space on the glass interposer are larger than that of silicon, it is enough to be used for the signal routing on both side of an interposer and soon, they are expected to be smaller. It is apparent that glass has many advantages, however to take advantages of the glass over silicon and FR-4 used as a conventional PCBs/PKGs substrate, careful electrical design is required. When the signal passes through the substrate, discontinuity in the return current path arises. Return current of the signal flows through the parasitic capacitor formed between the power and ground planes thus it is heavily affected by the substrate materials. Due to the high Q-factor, sharp impedance peaks are generated on the PDN at resonance frequencies. When the resonances occur, signal quality of the glass interposers could be worse than that of silicon interposers. At the same time, noise could be coupled to the P/G planes since the signal vias can operate as a switching current source [3]. Fig.1 illustrates possible problems that could arise at the mode resonances. Therefore the PDNs of glass interposers should be carefully designed to suppress the mode resonances. Fig.1. Possible problems that could occur at resonance frequencies of 2.5D-IC with double side glass interposer /14/$ IEEE 470

2 In this paper, we compare the PDN self-impedance of the glass, silicon interposer and PCB and correlate them with insertion loss. At the mode resonances, signal quality of the glass interposers and PCBs was severely degraded, which was worse than that of silicon interposers. Additionally, at the resonance frequencies, noise could be coupled to the power/ground planes. The PDN impedance of glass, silicon interposer and PCB are estimated and analyzed using the segmentation method to reduce computational resources and time. Based on analysis, resonance suppression methods are proposed. (a) II. COMPARISON OF PDN IMPEDANCE BETWEEN GLASS, SILICON INTERPOSER AND PCB A. PDN Impedance estimation using a Segmentation-method Appearance of the sharp impedance peaks on the PDNs at the mode resonance frequencies depends on port locations. By using the segmentation method, we can accurately estimate the PDN impedance of an interposer with different port configuration in short time [4]. To estimate the PDN impedance of the glass, silicon interposers and PCBs, unit cell of each structure should be modeled. Only difference between three structures is the substrate material. Dielectric material and dimensions are fixed to analyze the effect of material on the PDN impedance solely. Cross-sectional view and its unit cell are shown in Fig. 2-(a) and respectively. By dividing the whole structure into the unit cells that are smaller than the wavelength of target frequency over 20, it is possible to model unit cells with lumped elements. As can be seen in Fig.2, target structures consist of different dielectric layers between the P/G planes. By calculating the effective complex permittivity of the mixture using equation (1) [5], the shunt conductance (G) can be automatically included in the capacitance (C) between P/G planes. σ ε ( ω) = ε r + (1a) jε 0 ω ε eff ( ω) = htotal h1 h2 h3 + + ε ( ω) ε ( ω) ε ( ω) ZS-100 SUB ZS-100 (1b) Impedance estimation using the segmentation can be implemented by applying the boundary condition of voltage and current between adjacent cells. Estimated self-impedance seen at the center of the glass, silicon interposer and PCB is shown in Fig. 2-. Since silicon has the highest relative dielectric constant among three materials, silicon interposer shows largest capacitance compared to the glass interposer and PCB. Glass and FR-4 have almost the same relative dielectric constant, their PDN impedance are almost identical. Due to the conductivity of silicon, the sharp impedance peaks on the PDN at the mode resonances do not appear. For the glass interposer and PCB, sharp impedance peaks appear at resonances, but since glass has lower loss compare to PCB, peaks are sharper. Fig.2 (a), Cross sectional view of structures and unit cell care illustrated. Self-impedance seen at center of glass, silicon interposer and PCB were estimated by a segmentation method. B. Insertion loss comparison between Glass, Silicon Interposer and PCB Even though glass substrate has no loss since the conductivity of glass is zero, signal quality can be severely damaged when the signal passed through via when resonances occur; it can be worse than that of silicon. Fig.3 shows the cross sectional and the top view of a via transition structure with dimensions. Also it contains a port configuration. Correlation between the PDN and the insertion loss is depicted in Fig.3-. Mode numbers are also listed in the Fig.3- and that frequencies were exactly the same compared to the mode resonance formula (2). f mn c mπ nπ = ( ) + ( ) 2π ε a b eff 2 2 Due to the conductivity of substrate, insertion loss of the silicon interposer was larger than glass interposer and PCB at most frequencies. Insertion loss of glass interposer and PCB showed similar characteristics; lower than silicon for most frequencies, but suddenly increased at the resonance frequencies. As frequency goes up, insertion loss of PCB gradually increased due to the dielectric loss of FR-4 substrate. Therefore it can be expected that the glass interposers have the best signal transfer characteristics among three candidates; if the resonances are suppressed. (2) 471

3 (a) Cross sectional view Top view (a) Glass Silicon Fig.3 (a) Cross sectional view of via transition structure and Top view is illustrated. Port configuration and dimensions are listed. Substrate thickness and polymer thickness are the same as Fig.2 (a). Correlation between PDN impedance and insertion loss of each structure is illustrated. Numbers in parenthesis are mode resonance number. Frequencies where impedance peaks and insertion loss peaks appear well correlated. C. Signal Quality comparison between glass, silicon interposer and PCB at resonance frequencies and P/G noise coupling As can be seen in the section B, the signal quality can be severely distorted by the resonance. In this section, signal transfer characteristics were analyzed by using the eye diagram simulation. It is apparent that at the frequency where resonance does not appear, glass will show the best signal transfer characteristics. Therefore the eye diagrams were simulated at the data rate equal to certain resonance frequency to investigate how much resonance affects the signal. Also at that frequency, noise coupling voltage was observed at P/G planes. Simulation was carried out by applying PRBS signal with amplitude of 1V at port 1 and eye diagram was simulated at the port 2. Noise coupled to the P/G planes were observed at the port located at the side of each structure. Fig. 4 contains eye diagram and P/G noise of each structure at 26.6Gbps which corresponds to the mode (2,1) and (1,2) resonance s frequency. It can be seen that at the resonance frequencies of a glass interposer and PCB, signal was distorted. Timing jitter of a glass interposer was 4ps (13.3% of UI), where the jitter of a silicon interposer was only 2ps (6.7% of UI). Also about 50mV to 70mV noise, which is about 5~7% of an input signal was coupled to the P/G planes. To maximize the advantages of the glass interposers, resonance suppression is crucial. PCB Fig. 4 Eye diagram and noise coupling through P/G planes were simulated at 26.6Gbps which corresponds to resonance frequency. (a) Glass interposer, Silicon interposer, PCB III. SOLUTION FOR SUPPRESSING MODE RESONANCE OF GLASS INTERPOSER As can be seen in the Fig.3-, when the resonances occur, return current experience the high impedance. Also unwanted noise can be coupled to the PDNs. In 2.5D-ICs, various components are connected to the PDNs, therefore when the resonances occur, noise can be coupled to other components. To minimize the drawbacks of glass interposers, the PDNs should be designed to provide the return paths which have the low impedance. By placing decoupling capacitors or the ground vias near the signal vias, return current path discontinuity can be solved. However, placing the decoupling capacitors can solve many Power Integrity problems; it might not be the appropriate solution for the glass interposers resonance suppression. Decoupling capacitor pad sizes in glass interposer are still large. Due to this reason, the distance between the power and ground pins of the decoupling capacitors increase which cannot provide appropriate return current path. Also, a decoupling capacitor itself generates another resonance. New impedance peak is generated on the PDN, at that frequency, unexpected signal loss can occur [3]. Fig5.-(a) shows a decoupling capacitor configuration: 0603 size decoupling capacitors with 10pF are placed 300um away from the signal vias. Fig5- shows a simulation result of the PDN impedance and insertion loss of the glass interposer with and without four 10pF 0603 decoupling capacitors. 472

4 (a) (a) Fig5. (a) Shows a top view of glass interposer with four 10pF 0603 capacitors and Insertion loss and Self-impedance are compared between glass interposer with and without decoupling capacitors. As can be seen in the Fig5-, placing the 0603 decoupling capacitors did not affect much on neither PDN impedance nor insertion loss; only some peaks are shifted to the higher frequency. Therefore we can conclude that placing the decoupling capacitors might not be the suitable solution for the glass interposer s resonance problems. Another solution is placing the ground vias near the signal vias to provide the return current path with the low impedance. As can be seen in Fig. 6-(a), two ground vias were located 120um away from the signal vias. Fig. 6- contains the PDN impedance and insertion loss of glass interposer simulated with and without ground vias. In Fig. 6- and (d), eye diagram and P/G noise coupling of both cases are compared. By locating the ground vias near the signal vias suppressed the magnitude of the impedance peaks on the PDN at the resonances. Additionally, insertion loss at the resonances also decreased. As can be seen in Fig.6- eye opening increased at 26.6Gpbs which is resonance frequency; 0.284V to 0.317V. Timing jitter decreased to 2ps (6.7%) which is half of 4ps (13.3%). Additionally, magnitude of noise coupled to P/G planes went down significantly. By placing the ground vias near signal vias, The P/G noise coupling decreased and signal quality was improved. (d) Fig.6 (a) Ground vias are located near signal vias to provide the return current path. Design rules were provided by Georgia Tech Packaging Research Center. PDN impedance and insertion loss of glass interposer with and without ground vias are compared. For the glass interposer containing ground vias, it is simulated with ground of PCB connected to interposer. Eye-diagram simulation results of glass interposer with and without ground vias near signal vias. (d) P/G noise coupling comparison Therefore we can conclude placing the ground vias is the best solutions for suppressing the mode resonances of the glass interposers to maximize its advantages. 473

5 I. CONCLUSION 2.5D-Integration based on interposer and through via technologies is promising solution to achieve high system performance and reducing the size of a whole system. Usually silicon is used as a substrate material of an interposer; however it is expensive and suffers signal loss at the high frequency. As an alternative, glass can be used as a substrate material which can solve the problems of the silicon interposers. However, due to the low loss of the glass substrate, the return current suffers the high impedance at the resonance frequencies. At the resonances of the glass interposers, signal is distorted and noise can be coupled to other components integrated on interposer via PDN. To maximize the advantages of the glass interposers, placing the ground vias near the signal vias is mandatory when designing the PDNs of glass interposers. ACKNOWLEDGMENT This work was supported by International Collaborative R&D Program (funded by the Ministry of Trade, Industry and Energy (MKE, Korea) [N , Glass interposer based RF FEM for Next Generation Mobile Smart Phone] also we would like to acknowledge the financial support from the R&D Convergence Program of MSIP (Ministry of Science, ICT and Future Planning) and ISTK (Korea Research Council for Industrial Science and Technology) of Republic of Korea (Grant B ). REFERENCES [1] Venky Sundaram, Quio Chen, Yuya Suzuki, Gokul Kumar, Fuhan Liu, and Rao Tummala, "Low-cost and low-loss 3D silicon interposer for high bandwidth logic-to-memory interconnections without TSV in the logic IC," Electronic Components and Technology Conference (ECTC), 2012 IEEE 62 nd, pp.292,297, May June [2] Vijay Sukumaran, Tapobrata Bandyopadhyay, Venky Sundaram, Rao Tummala, "Low-Cost Thin Glass Interposers as a Superior Alternative to Silicon and Organic Interposers for Packaging of 3-D ICs," IEEE Transactions on Components, Packaging and Manufacturing Technology, vol.2, no.9, pp.1426,1433, Sept [3] J.Cho, Y.Kim and J.Kim, Analysis of glass interposer PDN and proposal of PDN resonance suppression methods, 3D Systems Integration Conference (3DIC), 2013 IEEE International, Oct [4] K. Kim, W.Lee, J.Kim, T.Song, J.Kim, J.S.Pak and J.Kim, Analysis of power distribution network in TSV-based 3D-IC Electrical Performance of Electronic Packaging and Systems (EPEPS), 2010 IEEE 19 th,pp177,180, October October [5] E. Tuncer, Y.V. Serdyuk, and S.M.Gubanski, Dielectric mixtures: Electrical properties and modeling, IEEE Trans. Dielectric. Electr. Insul.,vol. 9, no. 5, pp , Oct

Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer

Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer Youngwoo Kim 1, Jonghyun Cho 1, Kiyeong Kim 1, Venky Sundaram 2, Rao Tummala 2 and Joungho

More information

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer 2016 IEEE 66th Electronic Components and Technology Conference Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer Youngwoo Kim, Jinwook Song, Subin Kim

More information

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV)

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV) Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV) Jihye Kim, Insu Hwang, Youngwoo Kim, Heegon Kim and Joungho Kim Department of Electrical Engineering

More information

High-Frequency Characterization of Through Package Vias Formed by Focused Electrical-Discharge in Thin Glass Interposers

High-Frequency Characterization of Through Package Vias Formed by Focused Electrical-Discharge in Thin Glass Interposers High-Frequency Characterization of Through Package Vias Formed by Focused Electrical-Discharge in Thin Glass Interposers Jialing Tong *, Yoichiro Sato +, Shintaro Takahashi +, Nobuhiko Imajyo +, Andrew

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

THE continuous increase of data-intensive smart mobile

THE continuous increase of data-intensive smart mobile IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY, VOL. 6, NO. 1, JANUARY 2016 87 Design and Demonstration of Power Delivery Networks With Effective Resonance Suppression in Double-Sided

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Electrical Comparison between TSV in Silicon and TPV in Glass for Interposer and Package Applications

Electrical Comparison between TSV in Silicon and TPV in Glass for Interposer and Package Applications Electrical Comparison between TSV in Silicon and TPV in Glass for Interposer and Package Applications Jialing Tong, Kadppan Panayappan, Venky Sundaram, and Rao Tummala, Fellow, IEEE 3D Systems Packaging

More information

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications 3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications Darryl Kostka, CST of America Taigon Song and Sung Kyu Lim, Georgia Institute of Technology Outline Introduction TSV Array

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

Substrate-Integrated Waveguides in Glass Interposers with Through-Package-Vias

Substrate-Integrated Waveguides in Glass Interposers with Through-Package-Vias Substrate-Integrated Waveguides in Glass Interposers with Through-Package-Vias Jialing Tong, Venky Sundaram, Aric Shorey +, and Rao Tummala 3D Systems Packaging Research Center Georgia Institute of Technology,

More information

544 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 3, AUGUST /$ IEEE

544 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 3, AUGUST /$ IEEE 544 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 31, NO. 3, AUGUST 2008 Modeling and Measurement of Interlevel Electromagnetic Coupling and Fringing Effect in a Hierarchical Power Distribution Network

More information

Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems

Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems Design, Modeling and Characterization of Embedded Capacitor Networks for Mid-frequency Decoupling in Semiconductor Systems Prathap Muthana, Madhavan Swaminathan, Rao Tummala, P.Markondeya Raj, Ege Engin,Lixi

More information

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Brett Sawyer, Bruce C. Chou, Saumya Gandhi, Jack Mateosky, Venky Sundaram, and Rao Tummala 3D

More information

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2 Design Space Exploration for Robust Power Delivery in TSV Based 3-D Systems-on-Chip Suhas M. Satheesh High-Speed Fabrics Team NVIDIA Santa Clara, California 955 ssatheesh@nvidia.com Emre Salman Department

More information

Characterization of Alternate Power Distribution Methods for 3D Integration

Characterization of Alternate Power Distribution Methods for 3D Integration Characterization of Alternate Power Distribution Methods for 3D Integration David C. Zhang, Madhavan Swaminathan, David Keezer and Satyanarayana Telikepalli School of Electrical and Computer Engineering,

More information

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Youngwon Kim, Chunghyun Ryu, Jongbae Park, and Joungho Kim Terahertz Interconnection and Package Laboratory,

More information

Silicon Interposers enable high performance capacitors

Silicon Interposers enable high performance capacitors Interposers between ICs and package substrates that contain thin film capacitors have been used previously in order to improve circuit performance. However, with the interconnect inductance due to wire

More information

Design of the Power Delivery System for Next Generation Gigahertz Packages

Design of the Power Delivery System for Next Generation Gigahertz Packages Design of the Power Delivery System for Next Generation Gigahertz Packages Madhavan Swaminathan Professor School of Electrical and Computer Engg. Packaging Research Center madhavan.swaminathan@ece.gatech.edu

More information

SINCE the performance of personal computers (PCs) has

SINCE the performance of personal computers (PCs) has 334 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 5, MAY 2010 Multi-Slot Main Memory System for Post DDR3 Jaejun Lee, Sungho Lee, and Sangwook Nam, Member, IEEE Abstract This

More information

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014 Considerations in High-Speed High Performance Die-Package-Board Co-Design Jenny Jiang Altera Packaging Department October 2014 Why Co-Design? Complex Multi-Layer BGA Package Horizontal and vertical design

More information

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Progress In Electromagnetics Research Letters, Vol. 74, 117 123, 2018 A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Jun Zhou 1, 2, *, Jiapeng Yang 1, Donglei Zhao 1, and Dongsheng

More information

Minimizing Coupling of Power Supply Noise Between Digital and RF Circuit Blocks in Mixed Signal Systems

Minimizing Coupling of Power Supply Noise Between Digital and RF Circuit Blocks in Mixed Signal Systems Minimizing Coupling of Power Supply Noise Between Digital and RF Circuit Blocks in Mixed Signal Systems Satyanarayana Telikepalli, Madhavan Swaminathan, David Keezer Department of Electrical & Computer

More information

Advanced Transmission Lines. Transmission Line 1

Advanced Transmission Lines. Transmission Line 1 Advanced Transmission Lines Transmission Line 1 Transmission Line 2 1. Transmission Line Theory :series resistance per unit length in. :series inductance per unit length in. :shunt conductance per unit

More information

Signal/Power Integrity Analysis of High-Speed Memory Module with Meshed Reference Plane 1

Signal/Power Integrity Analysis of High-Speed Memory Module with Meshed Reference Plane 1 , pp.119-128 http//dx.doi.org/10.14257/ijca.2018.11.7.10 Signal/Power Integrity Analysis of High-Speed Memory Module with Meshed Reference Plane 1 Moonjung Kim Institute of IT Convergence Technology, Dept.

More information

Coupling Noise Analysis and High Frequency Design Optimization of Power/Ground Plane Stack-up in Embedded Chip Substrate Cavities

Coupling Noise Analysis and High Frequency Design Optimization of Power/Ground Plane Stack-up in Embedded Chip Substrate Cavities Coupling Noise Analysis and High Frequency Design Optimization of Power/Ground Plane Stack-up in Embedded Chip Substrate Cavities Nithya Sankaran,Venkatesh Chelukka Ramdas +, Baik-Woo Lee, Venky Sundaram,

More information

Miniaturization of Harmonics-suppressed Filter with Folded Loop Structure

Miniaturization of Harmonics-suppressed Filter with Folded Loop Structure PIERS ONINE, VO. 4, NO. 2, 28 238 Miniaturization of Harmonics-suppressed Filter with Folded oop Structure Han-Nien in 1, Wen-ung Huang 2, and Jer-ong Chen 3 1 Department of Communications Engineering,

More information

IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY 1

IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY 1 IEEE TRANSACTIONS ON COMPONENTS, PACKAGING AND MANUFACTURING TECHNOLOGY 1 Miniaturized Bandpass Filters as Ultrathin 3-D IPDs and Embedded Thinfilms in 3-D Glass Modules Srikrishna Sitaraman, Vijay Sukumaran,

More information

A 6 : 1 UNEQUAL WILKINSON POWER DIVIDER WITH EBG CPW

A 6 : 1 UNEQUAL WILKINSON POWER DIVIDER WITH EBG CPW Progress In Electromagnetics Research Letters, Vol. 8, 151 159, 2009 A 6 : 1 UNEQUAL WILKINSON POWER DIVIDER WITH EBG CPW C.-P. Chang, C.-C. Su, S.-H. Hung, and Y.-H. Wang Institute of Microelectronics,

More information

Application Note 5525

Application Note 5525 Using the Wafer Scale Packaged Detector in 2 to 6 GHz Applications Application Note 5525 Introduction The is a broadband directional coupler with integrated temperature compensated detector designed for

More information

Hardware Design Considerations for MKW41Z/31Z/21Z BLE and IEEE Device

Hardware Design Considerations for MKW41Z/31Z/21Z BLE and IEEE Device NXP Semiconductors Document Number: AN5377 Application Note Rev. 2, Hardware Design Considerations for MKW41Z/31Z/21Z BLE and IEEE 802.15.4 Device 1. Introduction This application note describes Printed

More information

Probing Techniques for Signal Performance Measurements in High Data Rate Testing

Probing Techniques for Signal Performance Measurements in High Data Rate Testing Probing Techniques for Signal Performance Measurements in High Data Rate Testing K. Helmreich, A. Lechner Advantest Test Engineering Solutions GmbH Contents: 1 Introduction: High Data Rate Testing 2 Signal

More information

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract

More information

Electromagnetic Interference Shielding Effects in Wireless Power Transfer using Magnetic Resonance Coupling for Board-to-Board Level Interconnection

Electromagnetic Interference Shielding Effects in Wireless Power Transfer using Magnetic Resonance Coupling for Board-to-Board Level Interconnection Electromagnetic Interference Shielding Effects in Wireless Power Transfer using Magnetic Resonance Coupling for Board-to-Board Level Interconnection Sukjin Kim 1, Hongseok Kim, Jonghoon J. Kim, Bumhee

More information

Plastic straw: future of high-speed signaling

Plastic straw: future of high-speed signaling Supplementary Information for Plastic straw: future of high-speed signaling Ha Il Song, Huxian Jin, and Hyeon-Min Bae * Korea Advanced Institute of Science and Technology (KAIST), Department of Electrical

More information

Design and Analysis of Parallel-Coupled Line Bandpass Filter

Design and Analysis of Parallel-Coupled Line Bandpass Filter Design and Analysis of Parallel-Coupled Line Bandpass Filter Talib Mahmood Ali Asst. Lecturer, Electrical Engineering Department, University of Mustansiriyah, Baghdad, Iraq Abstract A compact microwave

More information

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest The Inductance Loop Power Distribution in the Semiconductor Test Interface Jason Mroczkowski Multitest j.mroczkowski@multitest.com Silicon Valley Test Conference 2010 1 Agenda Introduction to Power Delivery

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Parallel vs. Serial Inter-plane communication using TSVs

Parallel vs. Serial Inter-plane communication using TSVs Parallel vs. Serial Inter-plane communication using TSVs Somayyeh Rahimian Omam, Yusuf Leblebici and Giovanni De Micheli EPFL Lausanne, Switzerland Abstract 3-D integration is a promising prospect for

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Power Distribution Paths in 3-D ICs

Power Distribution Paths in 3-D ICs Power Distribution Paths in 3-D ICs Vasilis F. Pavlidis Giovanni De Micheli LSI-EPFL 1015-Lausanne, Switzerland {vasileios.pavlidis, giovanni.demicheli}@epfl.ch ABSTRACT Distributing power and ground to

More information

Demystifying Vias in High-Speed PCB Design

Demystifying Vias in High-Speed PCB Design Demystifying Vias in High-Speed PCB Design Keysight HSD Seminar Mastering SI & PI Design db(s21) E H What is Via? Vertical Interconnect Access (VIA) An electrical connection between layers to pass a signal

More information

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6 ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.6 26.6 40Gb/s Amplifier and ESD Protection Circuit in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi University of California, Los Angeles, CA Optical

More information

Compact Tunable 3 db Hybrid and Rat-Race Couplers with Harmonics Suppression

Compact Tunable 3 db Hybrid and Rat-Race Couplers with Harmonics Suppression 372 Compact Tunable 3 db Hybrid and Rat-Race Couplers with Harmonics Suppression Khair Al Shamaileh 1, Mohammad Almalkawi 1, Vijay Devabhaktuni 1, and Nihad Dib 2 1 Electrical Engineering and Computer

More information

Through Glass Via (TGV) Technology for RF Applications

Through Glass Via (TGV) Technology for RF Applications Through Glass Via (TGV) Technology for RF Applications C. H. Yun 1, S. Kuramochi 2, and A. B. Shorey 3 1 Qualcomm Technologies, Inc. 5775 Morehouse Dr., San Diego, California 92121, USA Ph: +1-858-651-5449,

More information

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Presented by Chad Smutzer Mayo Clinic Special Purpose Processor Development

More information

The amout, type and position definition and optimization of decoupling capacitors which depend on the resonance hot spot position,

The amout, type and position definition and optimization of decoupling capacitors which depend on the resonance hot spot position, Resonance Simulation in PI Design Xiao Dan Nokia Abstract PCB power-ground resonance theory is introduced and relationship between Z simulated parameter and resonance frequency is discussed based on the

More information

Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors. Glass Packages

Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors. Glass Packages 2016 IEEE 66th Electronic Components and Technology Conference Innovative Electrical Thermal Co-design of Ultra-high Q TPV-based 3D Inductors in Glass Packages Min Suk Kim, Markondeya Raj Pulugurtha, Zihan

More information

TECHNICAL REPORT: CVEL Parasitic Inductance Cancellation for Filtering to Chassis Ground Using Surface Mount Capacitors

TECHNICAL REPORT: CVEL Parasitic Inductance Cancellation for Filtering to Chassis Ground Using Surface Mount Capacitors TECHNICAL REPORT: CVEL-14-059 Parasitic Inductance Cancellation for Filtering to Chassis Ground Using Surface Mount Capacitors Andrew J. McDowell and Dr. Todd H. Hubing Clemson University April 30, 2014

More information

Compact microstrip stepped-impedance lowpass filter with wide stopband using SICMRC

Compact microstrip stepped-impedance lowpass filter with wide stopband using SICMRC LETTER IEICE Electronics Express, Vol.9, No.22, 1742 1747 Compact microstrip stepped-impedance lowpass filter with wide stopband using SICMRC Mohsen Hayati 1,2a) and Hamed Abbasi 1 1 Electrical and Electronics

More information

An on-chip antenna integrated with a transceiver in 0.18-µm CMOS technology

An on-chip antenna integrated with a transceiver in 0.18-µm CMOS technology This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* An on-chip antenna integrated with a transceiver

More information

insert link to the published version of your paper

insert link to the published version of your paper Citation Niels Van Thienen, Wouter Steyaert, Yang Zhang, Patrick Reynaert, (215), On-chip and In-package Antennas for mm-wave CMOS Circuits Proceedings of the 9th European Conference on Antennas and Propagation

More information

Application of Generalized Scattering Matrix for Prediction of Power Supply Noise

Application of Generalized Scattering Matrix for Prediction of Power Supply Noise Application of Generalized Scattering Matrix for Prediction of Power Supply Noise System Level Interconnect Prediction 2010 June 13, 2010 K. Yamanaga (1),K. Masu (2), and T. Sato (3) (1) Murata Manufacturing

More information

Metamaterial Inspired CPW Fed Compact Low-Pass Filter

Metamaterial Inspired CPW Fed Compact Low-Pass Filter Progress In Electromagnetics Research C, Vol. 57, 173 180, 2015 Metamaterial Inspired CPW Fed Compact Low-Pass Filter BasilJ.Paul 1, *, Shanta Mridula 1,BinuPaul 1, and Pezholil Mohanan 2 Abstract A metamaterial

More information

Implementation of Power Transmission Lines to Field Programmable Gate Array ICs for Managing Signal and Power Integrity

Implementation of Power Transmission Lines to Field Programmable Gate Array ICs for Managing Signal and Power Integrity Implementation of Power Transmission Lines to Field Programmable Gate Array ICs for Managing Signal and Power Integrity Sang Kyu Kim, Satyanarayana Telikepalli, Sung Joo Park, Madhavan Swaminathan and

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT

INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT ABSTRACT: This paper describes the design of a high-efficiency energy harvesting

More information

Novel Design of Compact Low Pass Filter using Defected Ground Structure

Novel Design of Compact Low Pass Filter using Defected Ground Structure 76 VOL. 4, NO. 5, SEPTEMBER 9 Novel Design of Compact Low Pass Filter using Defected Ground Structure A.K.Verma 1 and Ashwani Kumar 1 Microwave Research Laboratory, Deptt.of Electronic Science, University

More information

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller Corporate Vice President, WW RnD & Technology Strategy 1 In the Beginning ewlb 2 Fan Out Packaging Emerges Introduction of Fan Out (ewlb) Marketed

More information

ELECTRICAL MODELING, DESIGN, AND HIGH-FREQUENCY CHARACTERISATION OF FINE-PITCH THROUGH-PACKAGE-VIAS IN ULTRA-THIN 3D GLASS INTERPOSER PACKAGES

ELECTRICAL MODELING, DESIGN, AND HIGH-FREQUENCY CHARACTERISATION OF FINE-PITCH THROUGH-PACKAGE-VIAS IN ULTRA-THIN 3D GLASS INTERPOSER PACKAGES ELECTRICAL MODELING, DESIGN, AND HIGH-FREQUENCY CHARACTERISATION OF FINE-PITCH THROUGH-PACKAGE-VIAS IN ULTRA-THIN 3D GLASS INTERPOSER PACKAGES A Dissertation Presented to The Academic Faculty by SUKHADHA

More information

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB

3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB 3 GHz Wide Frequency Model of Surface Mount Technology (SMT) Ferrite Bead for Power/Ground and I/O Line Noise Simulation of High-speed PCB Tae Hong Kim, Hyungsoo Kim, Jun So Pak, and Joungho Kim Terahertz

More information

Chapter 2. Literature Review

Chapter 2. Literature Review Chapter 2 Literature Review 2.1 Development of Electronic Packaging Electronic Packaging is to assemble an integrated circuit device with specific function and to connect with other electronic devices.

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

A VIEW OF ELECTROMAGNETIC LIFE ABOVE 100 MHz

A VIEW OF ELECTROMAGNETIC LIFE ABOVE 100 MHz A VIEW OF ELECTROMAGNETIC LIFE ABOVE 100 MHz An Experimentalist's Intuitive Approach Lothar O. (Bud) Hoeft, PhD Consultant, Electromagnetic Effects 5012 San Pedro Ct., NE Albuquerque, NM 87109-2515 (505)

More information

System Integration and Modeling Concepts

System Integration and Modeling Concepts Chapter 1 System Integration and Modeling Concepts The semiconductor industry has come a long way since Dr. Gordon E. Moore, co-founder of Intel, formulated his empirical law called Moore s law in 1965,

More information

Design of Compact Stacked-Patch Antennas in LTCC multilayer packaging modules for Wireless Applications

Design of Compact Stacked-Patch Antennas in LTCC multilayer packaging modules for Wireless Applications Design of Compact Stacked-Patch Antennas in LTCC multilayer packaging modules for Wireless Applications R. L. Li, G. DeJean, K. Lim, M. M. Tentzeris, and J. Laskar School of Electrical and Computer Engineering

More information

A 1-W GaAs Class-E Power Amplifier with an FBAR Filter Embedded in the Output Network

A 1-W GaAs Class-E Power Amplifier with an FBAR Filter Embedded in the Output Network A 1-W GaAs Class-E Power Amplifier with an FBAR Filter Embedded in the Output Network Kyle Holzer and Jeffrey S. Walling University of Utah PERFIC Lab, Salt Lake City, UT 84112, USA Abstract Integration

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

Bandpass-Response Power Divider with High Isolation

Bandpass-Response Power Divider with High Isolation Progress In Electromagnetics Research Letters, Vol. 46, 43 48, 2014 Bandpass-Response Power Divider with High Isolation Long Xiao *, Hao Peng, and Tao Yang Abstract A novel wideband multilayer power divider

More information

A Technical Discussion of TDR Techniques, S-parameters, RF Sockets, and Probing Techniques for High Speed Serial Data Designs

A Technical Discussion of TDR Techniques, S-parameters, RF Sockets, and Probing Techniques for High Speed Serial Data Designs A Technical Discussion of TDR Techniques, S-parameters, RF Sockets, and Probing Techniques for High Speed Serial Data Designs Presenter: Brian Shumaker DVT Solutions, LLC, 650-793-7083 b.shumaker@comcast.net

More information

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 6, JUNE

IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 6, JUNE IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 63, NO. 6, JUNE 2016 2503 Impact of On-Chip Interconnect on the Performance of 3-D Integrated Circuits With Through Silicon Vias: Part I Vachan Kumar, Member,

More information

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction Manufacture and Performance of a Z-interconnect HDI Circuit Card Michael Rowlands, Rabindra Das, John Lauffer, Voya Markovich EI (Endicott Interconnect Technologies) 1093 Clark Street, Endicott, NY 13760

More information

High Frequency Electrical Model of Through Wafer Via for 3-D Stacked Chip Packaging

High Frequency Electrical Model of Through Wafer Via for 3-D Stacked Chip Packaging High Frequency Electrical Model of Through Wafer Via for 3-D Stacked Chip Packaging Chunghyun Ryu, Jiwang Lee, Hyein Lee, *Kwangyong Lee, *Taesung Oh, and Joungho Kim Terahertz Interconnection and Package

More information

Power Distribution Status and Challenges

Power Distribution Status and Challenges Greetings from Georgia Institute of Institute Technology of Technology Power Distribution Status and Challenges Presented by Madhavan Swaminathan Packaging Research Center School of Electrical and Computer

More information

LVDS Flow Through Evaluation Boards. LVDS47/48EVK Revision 1.0

LVDS Flow Through Evaluation Boards. LVDS47/48EVK Revision 1.0 LVDS Flow Through Evaluation Boards LVDS47/48EVK Revision 1.0 January 2000 6.0.0 LVDS Flow Through Evaluation Boards 6.1.0 The Flow Through LVDS Evaluation Board The Flow Through LVDS Evaluation Board

More information

Impact of On-Chip Multi-Layered Inductor on Signal and Power Integrity of Underlying Power-Ground Net

Impact of On-Chip Multi-Layered Inductor on Signal and Power Integrity of Underlying Power-Ground Net 22 nd IEEE Workshop on Signal and Power Integrity, Brest, FRANCE May 25, 2018 Impact of On-Chip Multi-Layered Inductor on Signal and Power Integrity of Underlying Power-Ground Net Akira Tsuchicya 1, Akitaka

More information

Design Fundamentals by A. Ciccomancini Scogna, PhD Suppression of Simultaneous Switching Noise in Power and Ground Plane Pairs

Design Fundamentals by A. Ciccomancini Scogna, PhD Suppression of Simultaneous Switching Noise in Power and Ground Plane Pairs Design Fundamentals by A. Ciccomancini Scogna, PhD Suppression of Simultaneous Switching Noise in Power and Ground Plane Pairs Photographer: Janpietruszka Agency: Dreamstime.com 36 Conformity JUNE 2007

More information

Optimization of Symmetric Spiral Inductors On Silicon Substrate

Optimization of Symmetric Spiral Inductors On Silicon Substrate Optimization of Symmetric Spiral Inductors On Silicon Substrate Hyunjin Lee, Joonho Gil, and Hyungcheol Shin Department of Electrical Engineering and Computer Science, KAIST -1, Guseong-dong, Yuseong-gu,

More information

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group Title: Package Model Proposal Source: Nanju Na (nananju@us.ibm.com) Jean Audet (jaudet@ca.ibm.com), David R Stauffer (dstauffe@us.ibm.com) Date: Dec 27 IBM Systems and Technology Group Abstract: New package

More information

CHAPTER 4. Practical Design

CHAPTER 4. Practical Design CHAPTER 4 Practical Design The results in Chapter 3 indicate that the 2-D CCS TL can be used to synthesize a wider range of characteristic impedance, flatten propagation characteristics, and place passive

More information

Compact Distributed Phase Shifters at X-Band Using BST

Compact Distributed Phase Shifters at X-Band Using BST Integrated Ferroelectrics, 56: 1087 1095, 2003 Copyright C Taylor & Francis Inc. ISSN: 1058-4587 print/ 1607-8489 online DOI: 10.1080/10584580390259623 Compact Distributed Phase Shifters at X-Band Using

More information

Lowpass Filters. Microwave Filter Design. Chp5. Lowpass Filters. Prof. Tzong-Lin Wu. Department of Electrical Engineering National Taiwan University

Lowpass Filters. Microwave Filter Design. Chp5. Lowpass Filters. Prof. Tzong-Lin Wu. Department of Electrical Engineering National Taiwan University Microwave Filter Design Chp5. Lowpass Filters Prof. Tzong-Lin Wu Department of Electrical Engineering National Taiwan University Lowpass Filters Design steps Select an appropriate lowpass filter prototype

More information

How Long is Too Long? A Via Stub Electrical Performance Study

How Long is Too Long? A Via Stub Electrical Performance Study How Long is Too Long? A Via Stub Electrical Performance Study Michael Rowlands, Endicott Interconnect Michael.rowlands@eitny.com, 607.755.5143 Jianzhuang Huang, Endicott Interconnect 1 Abstract As signal

More information

Through- Silicon- Via Inductor based DC- DC Converters: The Marriage of the Princess and the Dragon

Through- Silicon- Via Inductor based DC- DC Converters: The Marriage of the Princess and the Dragon Through- Silicon- Via Inductor based DC- DC Converters: The Marriage of the Princess and the Dragon Yiyu Shi, Ph.D. Assistant Professor, Electrical and Computer Engineering Department, Missouri University

More information

ISSCC 2006 / SESSION 10 / mm-wave AND BEYOND / 10.1

ISSCC 2006 / SESSION 10 / mm-wave AND BEYOND / 10.1 10.1 A 77GHz 4-Element Phased Array Receiver with On-Chip Dipole Antennas in Silicon A. Babakhani, X. Guan, A. Komijani, A. Natarajan, A. Hajimiri California Institute of Technology, Pasadena, CA Achieving

More information

Design and Modeling of Through-Silicon Vias for 3D Integration

Design and Modeling of Through-Silicon Vias for 3D Integration Design and Modeling of Through-Silicon Vias for 3D Integration Ivan Ndip, Brian Curran, Gerhard Fotheringham, Jurgen Wolf, Stephan Guttowski, Herbert Reichl Fraunhofer IZM & BeCAP @ TU Berlin IEEE Workshop

More information

A NOVEL DUAL-BAND BANDPASS FILTER USING GENERALIZED TRISECTION STEPPED IMPEDANCE RESONATOR WITH IMPROVED OUT-OF-BAND PER- FORMANCE

A NOVEL DUAL-BAND BANDPASS FILTER USING GENERALIZED TRISECTION STEPPED IMPEDANCE RESONATOR WITH IMPROVED OUT-OF-BAND PER- FORMANCE Progress In Electromagnetics Research Letters, Vol. 21, 31 40, 2011 A NOVEL DUAL-BAND BANDPASS FILTER USING GENERALIZED TRISECTION STEPPED IMPEDANCE RESONATOR WITH IMPROVED OUT-OF-BAND PER- FORMANCE X.

More information

Measurement Results for a High Throughput MCM

Measurement Results for a High Throughput MCM Measurement Results for a High Throughput MCM Funding: Paul Franzon Toby Schaffer, Alan Glaser, Steve Lipa North Carolina State University paulf@ncsu.edu www.ece.ncsu.edu/erl Outline > Heterogeneous System

More information

Foundry WLSI Technology for Power Management System Integration

Foundry WLSI Technology for Power Management System Integration 1 Foundry WLSI Technology for Power Management System Integration Chuei-Tang Wang, Chih-Lin Chen, Jeng-Shien Hsieh, Victor C.Y. Chang, Douglas Yu R&D,TSMC Oct. 2016 2 Motivation Outline PMIC system integration

More information

A Co-design Methodology of Signal Integrity and Power Integrity

A Co-design Methodology of Signal Integrity and Power Integrity DesignCon 2006 A Co-design Methodology of Signal Integrity and Power Integrity Woong Hwan Ryu, Intel Corporation woong.hwan.ryu@intel.com Min Wang, Intel Corporation min.wang@intel.com 1 Abstract As PCB

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

DEFECTED MICROSTRIP STRUCTURE BASED BANDPASS FILTER

DEFECTED MICROSTRIP STRUCTURE BASED BANDPASS FILTER DEFECTED MICROSTRIP STRUCTURE BASED BANDPASS FILTER M.Subhashini, Mookambigai college of engineering, Tamilnadu, India subha6688@gmail.com ABSTRACT A defected microstrip structure (DMS) unit is proposed

More information

PARALLEL coupled-line filters are widely used in microwave

PARALLEL coupled-line filters are widely used in microwave 2812 IEEE TRANSACTIONS ON MICROWAVE THEORY AND TECHNIQUES, VOL. 53, NO. 9, SEPTEMBER 2005 Improved Coupled-Microstrip Filter Design Using Effective Even-Mode and Odd-Mode Characteristic Impedances Hong-Ming

More information

SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING

SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING SHELLCASE-TYPE WAFER-LEVEL PACKAGING SOLUTIONS: RF CHARACTERIZATION AND MODELING M Bartek 1, S M Sinaga 1, G Zilber 2, D Teomin 2, A Polyakov 1, J N Burghartz 1 1 Delft University of Technology, Lab of

More information

Decoupling capacitor placement

Decoupling capacitor placement Decoupling capacitor placement Covered in this topic: Introduction Which locations need decoupling caps? IC decoupling Capacitor lumped model How to maximize the effectiveness of a decoupling cap Parallel

More information

Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than

Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than LETTER IEICE Electronics Express, Vol.9, No.24, 1813 1822 Stacked-FET linear SOI CMOS SPDT antenna switch with input P1dB greater than 40 dbm Donggu Im 1a) and Kwyro Lee 1,2 1 Department of EE, Korea Advanced

More information

COMPACT DESIGN AND SIMULATION OF LOW PASS MICROWAVE FILTER ON MICROSTRIP TRANSMISSION LINE AT 2.4 GHz

COMPACT DESIGN AND SIMULATION OF LOW PASS MICROWAVE FILTER ON MICROSTRIP TRANSMISSION LINE AT 2.4 GHz International Journal of Management, IT & Engineering Vol. 7 Issue 7, July 2017, ISSN: 2249-0558 Impact Factor: 7.119 Journal Homepage: Double-Blind Peer Reviewed Refereed Open Access International Journal

More information

Design and Fabrication of Stepped Impedance Multi- Function Filter

Design and Fabrication of Stepped Impedance Multi- Function Filter Avestia Publishing International Journal of Electrical and Computer Systems (IJECS) Volume 4, Year 2018 ISSN: 1929-2716 DOI: 10.11159/ijecs.2018.001 Design and Fabrication of Stepped Impedance Multi- Function

More information

Microwave Frequency Interconnection Line Model of a Wafer Level Package

Microwave Frequency Interconnection Line Model of a Wafer Level Package 356 IEEE TRANSACTIONS ON ADVANCED PACKAGING, VOL. 25, NO. 3, AUGUST 2002 Microwave Frequency Interconnection Line Model of a Wafer Level Package Junwoo Lee, Woonghwan Ryu, Member, IEEE, Jingook Kim, Junho

More information