Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller

Size: px
Start display at page:

Download "Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller"

Transcription

1 Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller Corporate Vice President, WW RnD & Technology Strategy 1

2 In the Beginning ewlb 2

3 Fan Out Packaging Emerges Introduction of Fan Out (ewlb) Marketed as package replacement for fccsp Limited in application and use at initial stages 54M units shipped in M units shipped in 2015 far short of earlier predictions at that time Fan Out market struggled 3

4 Today (2016) 4

5 2016 Has Become the Year of Fan Out Expected ~ 2 billion packages to ship this year So what changed? 5

6 Mobile Market: Space & Performance The need for more space and performance are key triggers Traditional Fan Out More space for larger battery Thinner and smaller than fccsp Convergence of WLCSP to Fan Out Same BGA pin out on advanced nodes to address die shrink Advanced Fan Out InFO Performance! Electrical, power, thermal 6

7 WLFO Traditional Applications and Drivers Main applications Mobility CODEC RF Switch/Transceiver PMIC Automotive RF radar Connectivity Primary drivers Form factor reduction Fine feature size (advanced photo lithography) Multi-die and 3D capability Strong electrical performance (mmw capable) CODEC RF Transceiver MCU PMIC NFC 7

8 Advanced Fan Out Value Proposition Reduced Z-height & form factor Enhanced signal integrity Superior impedance matching Optimized power distribution Improved thermal performance/junction temperature Ability to address multi-die heterogeneous integration (SiP) 8

9 Simplified Wafer Level Process Flow Advanced Fan Out Chips Last/RDL First POP Pillars 200 µm Pitch RDL for Memory Interface SWIFT 40 µm Pitch < 0.30 mm Total Height Carrier Remove Top Side Routing For Memory Interface Carrier Carrier RDL & Copper Pillar Bump (3D Only) Chip Attach & UF Wafer Mold 9

10 Tomorrow 10

11 Millions of Packages Fan-Out WLP Market Projections 7,000 6,240 5,831 6,000 5,113 5,000 4,000 3,481 3,000 2,001 2,000 1, TechSearch International, Inc. Device types driving HVM include RF transceiver and switch, PMIC, CODEC, automotive radar, connectivity (IoT) modules, Apple's application processors made by TSMC and application processors from other companies in future Future memory for top PoP Many multi-die products in future 11

12 Fan Out Market 2017 Traditional Fan Out gaining momentum Large activity in mobile market RFIC, PMIC, CODEC Product Body Size Total Units (M) RFIC CODEC PMIC Other 3 mm 4 mm 6 mm mixed Totals. See TechSearch Courtesy of TechSearch International, Inc. 12

13 Thousands of 300mm-Equivalent Reconstituted Wafers Projected Wafer Demand for FO-WLP (300mm equivalents) 10,000 9,000 8,000 7,000 6,000 5,000 4,000 3,000 2,000 1,345 2,929 6,261 8,669 9,292 Device types driving HVM include RF transceiver and switch, PMIC, CODEC, automotive radar, connectivity (IoT) modules, application processors Assumes 80 µm die street 1, TechSearch International, Inc. Assumes high-yield process of 99% 13

14 Emergence of Advanced Fan Out SWIFT TM Process RDL first Chip attach last Cycle time & yield Flexibility & scalability Performance Shorter cycle time RDL wafer pre-build Known good RDL wafer Package variants 3D, SiP etc. Multi-die, passives Flexible thickness Thermal Electrical Reliable 14

15 Advanced Fan Out Comparison Key Attributes Fan Out SWIFT fccsp Exp Die PoP fccsp Fan-in PoP SWIFT Benefits Package Thickness mm 0.47 mm mm 4% reduction 31% reduction Layer Count 3 or Construction Electrical Interposer Interconnect to Package Edge 3 layers on bottom + 1 layer on top (if fan in) Reduced signal width allows flexibility in routing/impedance control 3 layer substrate on bottom Predetermined location at edge of package 3 layer substrate on bottom + 2 layer substrate on top Fixed signal widths and difficulty in impedance control Same as exposed die Fewer layers Flexibility, reduced trace length, reduced DC resistance & AC loss 50 µm 200 µm 200 µm 75% Reduction 15

16 DDR4: Signal Integrity Comparison Key Attributes Fan Out SWIFT fccsp Exp Die PoP SWIFT Benefits Eye Diagram 6 Gbps Eye Amplitude 548 mv 451 mv 3.0x Improvement Eye Height 481 mv 339 mv 1.4x Improvement Eye Width 164 ps 158 ps 4.0x Improvement Pk-Pk Jitter 3.7 ps 9.8 ps 2.2x Improvement Rise/Fall Time 64 ps 75 ps 1.2x Improvement 16

17 DDR4: Signal Integrity Comparison Key Attributes Fan Out SWIFT fccsp Fan-In PoP SWIFT Benefits Eye Diagram 6 Gbps Eye Amplitude 638 mv 444 mv 5.0x Improvement Eye Height 551 mv 318 mv 5.0x Improvement Eye Width 159 ps 145 ps 3.0x Improvement Pk-Pk Jitter 7.9 ps 14.1 ps 1.8x Improvement Rise/Fall Time 63 ps 75 ps 1.2x Improvement 17

18 SerDes: Signal Integrity Comparison Key Attributes SWIFT fccsp Exposed Die PoP SWIFT Benefits Eye Diagram 8 Gbps Eye Amplitude 998 mv 995 mv 4.0x Improvement Eye Height 954 mv 882 mv 3.0x Improvement Eye Width 125 ps ps 0.5x Improvement Pk-Pk Jitter.01 ps.07 ps 6.0x Improvement Rise/Fall Time 29 ps 32 ps 1.4x Improvement 18

19 SerDes: Signal Integrity Comparison Key Attributes SWIFT fccsp Exposed Die PoP SWIFT Benefits Eye Diagram 16 Gbps Eye Amplitude 995mV 993 mv 2.0x Improvement Eye Height 826 mv 604 mv 2.3x Improvement Eye Width 55 ps 50 ps 5.0x Improvement Pk-Pk Jitter 2.9 ps 4.8 ps 1.8x Improvement Rise/Fall Time 19 ps 31 ps 1.5x Improvement 19

20 SerDes: Signal Integrity Comparison Key Attributes SWIFT fccsp Fan-In PoP (MEP) SWIFT Benefits Eye Diagram 8 Gbps Eye Amplitude 1098 mv 1001 mv 5.0x Improvement Eye Height 934 mv 906 mv 3.3x Improvement Eye Width 122 ps 118 ps 4.0x Improvement Pk-Pk Jitter 2.5 ps 6.25 ps 2.5x Improvement Rise/Fall Time 36 ps 42 ps 1.4x Improvement 20

21 SerDes: Signal Integrity Comparison Key Attributes SWIFT fccsp Fan-In PoP (MEP) SWIFT Benefits Eye Diagram 16 Gbps Eye Amplitude 1009 mv 1007 mv 0.1x Improvement Eye Height 799 mv 361 mv 9.0x Improvement Eye Width 55 ps 53.9 ps 1.0x Improvement Pk-Pk Jitter 7.8 ps 7.8 ps equivalent Rise/Fall Time 26 ps 41 ps 1.4x Improvement 21

22 Power Integrity: Lower PDN Impedance FC PoP FC PoP 89% Impedance Reduction SWIFT SWIFT PCB PCB MHz PDN Impedance: Improved by 11% vs FC PoP (at 250 MHz) SWIFT : Substrate eliminated resulting in reduced pad-bga wiring length Low PDN Impedance High power integrity 22

23 Noise: Signal Integrity Comparison Insertion Loss SWIFT s controlled impedance has a wideband low pass filter effect essential for PCIe and Ethernet applications Return Loss Improved by 6 2 GHz Cross-talk Improved by 6 2 GHz 23

24 /W SWIFT vs FC PoP Thermal Simulation Steady State Analysis Max die temperature (3W dissipation) Theta JA FI-PoP SWIFT FI-PoP SWIFT JEDEC Mobile phone 10 JEDEC Mobile phone Max Temp C (3W) FC PoP SWIFT Delta Delta % Theta JA C/W FC PoP SWIFT Delta Delta % JEDEC % Mobile Phone % JEDEC % Mobile Phone % 24

25 Temperature, Temperature, SWIFT vs FC PoP Thermal Simulation Transient Analysis JEDEC FI-PoP SWIFT Mobile Phone FI-PoP SWIFT Time for die temp to reach 105 C (9W power dissipation) JEDEC Mobile Phone fcpop 19.5 sec 20.5 sec seconds seconds Time, sec Time, sec SWIFT 28.2 sec 36.8 sec 8.7 sec 16.3 sec Gain 45% 80% Time to reach the allowable max die temperature when a 9 watt duty cycle is applied The assumed allowable max die temperature is 105 C SWIFT extends the time to reach 105 C by approximately 9 and 16 seconds as compared to conventional substrate FC PoP 25

26 Advanced Fan Out SWIFT TM and InFO Mobility Apps-Processor Baseband (Logic + Memory) Power Management Display Driver (SiP) Drives Performance Reduced form factor Enhanced signal integrity Superior impedance matching Optimized power distribution Improved thermal performance 26

27 The Future 27

28 Future: Traditional Fan Out which direction? Relative Cost Comparison Higher $ As package to die ratio increases, there is more disparity between Fan Out and low cost fccsp Fan Out [1ML] fccsp fccsp Approximate price parity Lower $ WLCSP Fan Out [1ML] Die Size mm (WLCSP) Die Size mm Die Size mm Die Size mm Die Size mm Die Size mm Die Size mm Die Size mm 28

29 Future: Advanced Fan Out Package Roadmap All About Performance Available Single Die PoP 3D tall Cu interconnect Land side cap Wafer Level SiP Active and passive components Sputtered EMI shield SWIFT on Substrate Split logic 3D Interconnect Land-side cap Multi-Die PoP 3D tall Cu interconnect Land side cap Fan-in RDL 29

30 Summary Fan out packaging addresses needs from very small, low I/O devices all the way up to large die, high end processors Fan out enables the most complex SiP solutions, such as mobile RF front-end or MEMS technologies, with package form factor reduction Traditional fan-out will continue to be pressured by lower cost substrate technologies Advanced fan out is uniquely suited: to improve product performance to handle multiple die from different functional blocks (analog, mixed-signal, digital) to enable very small form factors 30

31 Thank You 31

The Future of Packaging ~ Advanced System Integration

The Future of Packaging ~ Advanced System Integration The Future of Packaging ~ Advanced System Integration Enabling a Microelectronic World R. Huemoeller SVP, Adv. Product / Platform Develop June 2013 Product Segments End Market % Share Summary 2 New Product

More information

Foundry WLSI Technology for Power Management System Integration

Foundry WLSI Technology for Power Management System Integration 1 Foundry WLSI Technology for Power Management System Integration Chuei-Tang Wang, Chih-Lin Chen, Jeng-Shien Hsieh, Victor C.Y. Chang, Douglas Yu R&D,TSMC Oct. 2016 2 Motivation Outline PMIC system integration

More information

Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct , IWLPC

Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct , IWLPC PACKAGE-ON-PACKAGE INTERCONNECT FOR FAN-OUT WAFER LEVEL PACKAGES Min Tao, Ph. D, Ashok Prabhu, Akash Agrawal, Ilyas Mohammed, Ph. D, Bel Haba, Ph. D Oct 18-20 2016, IWLPC 1 Outline Laminate to Fan-Out

More information

Adaptive Patterning. ISS 2019 January 8th

Adaptive Patterning. ISS 2019 January 8th Creating a system to balance natural variation ISS 2019 January 8th Tim Olson Founder & CTO Let s start with an industry perspective Historically, three distinct electronic industry silos Foundries SATS

More information

Electronic Costing & Technology Experts

Electronic Costing & Technology Experts Electronic Costing & Technology Experts 21 rue la Nouë Bras de Fer 44200 Nantes France Phone : +33 (0) 240 180 916 email : info@systemplus.fr www.systemplus.fr September 2016 Version 1 Written by Stéphane

More information

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative POSSUM TM Die Design as a Low Cost 3D Packaging Alternative The trend toward 3D system integration in a small form factor has accelerated even more with the introduction of smartphones and tablets. Integration

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Enabling concepts: Packaging Technologies

Enabling concepts: Packaging Technologies Enabling concepts: Packaging Technologies Ana Collado / Liam Murphy ESA / TEC-EDC 01/10/2018 ESA UNCLASSIFIED - For Official Use Enabling concepts: Packaging Technologies Drivers for the future: Higher

More information

SiP packaging technology of intelligent sensor module. Tony li

SiP packaging technology of intelligent sensor module. Tony li SiP packaging technology of intelligent sensor module Tony li 2016.9 Contents What we can do with sensors Sensor market trend Challenges of sensor packaging SiP technology to overcome challenges Overview

More information

Advanced Wafer Level Packaging of RF-MEMS with RDL Inductor

Advanced Wafer Level Packaging of RF-MEMS with RDL Inductor Advanced Wafer Level Packaging of RF-MEMS with RDL Inductor Paul Castillou, Roberto Gaddi, Rob van Kampen, Yaojian Lin*, Babak Jamshidi** and Seung Wook Yoon*** Cavendish Kinetics, 2960 North First Street,

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

FO-WLP, Embedded Die, and Alternatives: Market Trends and Drivers

FO-WLP, Embedded Die, and Alternatives: Market Trends and Drivers FO-WLP, Ebedded Die, and Alternatives: Market Trends and Drivers www.techsearchinc.co Many Package Choices: Which One is the Correct Choice? FO-WLP (chip-last, chip-first, face-up, face-down) Traditional

More information

3D ICs: Recent Advances in the Industry

3D ICs: Recent Advances in the Industry 3D ICs: Recent Advances in the Industry Suresh Ramalingam Senior Director, Advanced Packaging Outline 3D IC Background 3D IC Technology Development Summary Acknowledgements Stacked Silicon Interconnect

More information

New Wave SiP solution for Power

New Wave SiP solution for Power New Wave SiP solution for Power Vincent Lin Corporate R&D ASE Group APEC March 7 th, 2018 in San Antonio, Texas. 0 Outline Challenges Facing Human Society Energy, Environment and Traffic Autonomous Driving

More information

Innovative Embedded Technologies to Enable Thinner IoT/Wearable/Mobile Devices

Innovative Embedded Technologies to Enable Thinner IoT/Wearable/Mobile Devices Innovative Embedded Technologies to Enable Thinner IoT/Wearable/Mobile Devices Jensen Tsai Deputy Director, SPIL Building a Smarter World Wearable Internet of Things Building a Smarter World Mobile Devices

More information

Fan-Out Wafer Level Packaging Patent Landscape Analysis

Fan-Out Wafer Level Packaging Patent Landscape Analysis Fan-Out Wafer Level Packaging Patent Landscape Analysis Source: Infineon Source: TSMC Source: ASE November 2016 Source: Deca Technologies Source: STATS ChipPAC Source: Nepes KnowMade Patent & Technology

More information

2D to 3d architectures: back to the future

2D to 3d architectures: back to the future 2D to 3d architectures: back to the future Raja Swaminathan Package architect Intel Corporation 2018 IMAPS Device Packaging Keynote, 03/06/2018 acknowledgements Ravi Mahajan, Ram Viswanath, Bob Sankman,

More information

Silicon Interposers enable high performance capacitors

Silicon Interposers enable high performance capacitors Interposers between ICs and package substrates that contain thin film capacitors have been used previously in order to improve circuit performance. However, with the interconnect inductance due to wire

More information

Market and technology trends in advanced packaging

Market and technology trends in advanced packaging Close Market and technology trends in advanced packaging Executive OVERVIEW Recent advances in device miniaturization trends have placed stringent requirements for all aspects of product manufacturing.

More information

Beyond State-of-the-Art: Integration of MEMS in Fan-Out Wafer-Level Packaging Technology based System-in-Package (WLSiP)

Beyond State-of-the-Art: Integration of MEMS in Fan-Out Wafer-Level Packaging Technology based System-in-Package (WLSiP) Beyond State-of-the-Art: Integration of MEMS in Fan-Out Wafer-Level Packaging Technology based System-in-Package (WLSiP) Steffen Kröhnert, Director of Technology André Cardoso, Senior R&D Integration Engineer

More information

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007

Packaging Roadmap: The impact of miniaturization. Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 Packaging Roadmap: The impact of miniaturization Bob Pfahl, inemi Celestica-iNEMI Technology Forum May 15, 2007 The Challenges for the Next Decade Addressing the consumer experience using the converged

More information

EOTPR Customer Case Studies. EUFANET Workshop: Findings OPEN?

EOTPR Customer Case Studies. EUFANET Workshop: Findings OPEN? EOTPR Customer Case Studies EUFANET Workshop: Findings OPEN? OUTLINE o EOTPR introduction basic scheme o EOTPR OPEN customer case studies o Open on BGA trace (evaluation) o Open on embedded BGA trace o

More information

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014 Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application Institute of Microelectronics 22 April 2014 Challenges for HD Fan-Out Electrical Design 15-20 mm 7 mm 6 mm SI/PI with multilayer

More information

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Peter De Dobbelaere Luxtera Inc. 09/19/2016 Luxtera Proprietary www.luxtera.com Luxtera Company Introduction $100B+ Shift

More information

The 3D Silicon Leader

The 3D Silicon Leader The 3D Silicon Leader 3D Silicon IPD for smaller and more reliable Implantable Medical Devices ATW on Advanced Packaging for Wireless Medical Devices Mohamed Mehdi Jatlaoui, Sébastien Leruez, Olivier Gaborieau,

More information

The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications.

The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications. The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications May 8, 2007 Abstract: The challenge to integrate high-end, build-up organic packaging

More information

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Authors: Rick Brooks, Cisco, ricbrook@cisco.com Jane Lim, Cisco, honglim@cisco.com Udupi Harisharan, Cisco,

More information

Fraunhofer IZM - ASSID

Fraunhofer IZM - ASSID FRAUNHOFER-INSTITUT FÜR Zuverlässigkeit und Mikrointegration IZM Fraunhofer IZM - ASSID All Silicon System Integration Dresden Heterogeneous 3D Wafer Level System Integration 3D system integration is one

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications 3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications Darryl Kostka, CST of America Taigon Song and Sung Kyu Lim, Georgia Institute of Technology Outline Introduction TSV Array

More information

it Gb/s NRZ Modulator Driver VD1 VCTRL1 OUT/VD2 Description Features Device Diagram Gain

it Gb/s NRZ Modulator Driver VD1 VCTRL1 OUT/VD2 Description Features Device Diagram Gain Description The it65 is a high-performance NRZ modulator driver for metro and long-haul LiNbO optical transmitters. The device consists of a wideband iterra phemt amplifier in a surface-mount package.

More information

TGV2204-FC. 19 GHz VCO with Prescaler. Key Features. Measured Performance. Primary Applications Automotive Radar. Product Description

TGV2204-FC. 19 GHz VCO with Prescaler. Key Features. Measured Performance. Primary Applications Automotive Radar. Product Description 19 GHz VCO with Prescaler Key Features Frequency Range: 18.5 19.5 GHz Output Power: 7 dbm @ 19 GHz Phase Noise: -105 dbc/hz at 1 MHz offset, fc=19 GHz Prescaler Output Freq Range : 2.31 2.44 GHz Prescaler

More information

Flexline - A Flexible Manufacturing Method for Wafer Level Packages (Extended Abstract)

Flexline - A Flexible Manufacturing Method for Wafer Level Packages (Extended Abstract) Flexline - A Flexible Manufacturing Method for Wafer Level Packages (Extended Abstract) by Tom Strothmann, *Damien Pricolo, **Seung Wook Yoon, **Yaojian Lin STATS ChipPAC Inc.1711 W Greentree Drive Tempe,

More information

License to Speed: Extreme Bandwidth Packaging

License to Speed: Extreme Bandwidth Packaging License to Speed: Extreme Bandwidth Packaging Sean S. Cahill VP, Technology BridgeWave Communications Santa Clara, California, USA BridgeWave Communications Specializing in 60-90 GHz Providing a wireless

More information

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration

First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration First Demonstration of Panel Glass Fan-out (GFO) Packages for High I/O Density and High Frequency Multi-Chip Integration Tailong Shi, Chintan Buch,Vanessa Smet, Yoichiro Sato, Lutz Parthier, Frank Wei

More information

Laminate Based Fan-Out Embedded Die Technologies: The Other Option

Laminate Based Fan-Out Embedded Die Technologies: The Other Option Laminate Based Fan-Out Embedded Die Technologies: The Other Option Theodore (Ted) G. Tessier, Tanja Karila*, Tuomas Waris*, Mark Dhaenens and David Clark FlipChip International, LLC 3701 E University Drive

More information

Signal Technologies 1

Signal Technologies 1 Signal Technologies 1 Gunning Transceiver Logic (GTL) - evolution Evolved from BTL, the backplane transceiver logic, which in turn evolved from ECL (emitter-coupled logic) Setup of an open collector bus

More information

WLCSP xwave for high frequency wafer probe applications

WLCSP xwave for high frequency wafer probe applications WLCSP xwave for high frequency wafer probe applications Xcerra Corporation Overview Introduction / Background cmwave and mmwave Market/applications and xwave Objectives / Goals Move from package test to

More information

SY58608U. General Description. Features. Functional Block Diagram

SY58608U. General Description. Features. Functional Block Diagram 3.2Gbps Precision, 1:2 LVDS Fanout Buffer with Internal Termination and Fail Safe Input General Description The is a 2.5V, high-speed, fully differential 1:2 LVDS fanout buffer optimized to provide two

More information

3D PLUS technology and offer

3D PLUS technology and offer 3D PLUS technology and offer By Dr Pascal Couderc, 3D PLUS 408, Rue Hélène Boucher 78532 BUC France Phone: + 33 1 30 83 26 50 Email : www.3d-plus.com TM P.COUDERC 3D PLUS technology and offer 1 Outline

More information

Features. Applications. Markets

Features. Applications. Markets 3.2Gbps Precision, 1:2 LVPECL Fanout Buffer with Internal Termination and Fail Safe Input General Description The is a 2.5/3.3V, high-speed, fully differential 1:2 LVPECL fanout buffer optimized to provide

More information

Features. Applications. Markets

Features. Applications. Markets 3.2Gbps Precision, LVDS 2:1 MUX with Internal Termination and Fail Safe Input General Description The is a 2.5V, high-speed, fully differential LVDS 2:1 MUX capable of processing clocks up to 2.5GHz and

More information

Features. Applications

Features. Applications Ultra-Precision, 8:1 MUX with Internal Termination and 1:2 LVPECL Fanout Buffer Precision Edge General Description The is a low-jitter, low-skew, high-speed 8:1 multiplexer with a 1:2 differential fanout

More information

Organic Packaging Substrate Workshop Overview

Organic Packaging Substrate Workshop Overview Organic Packaging Substrate Workshop Overview Organized by: International Electronics Manufacturing Initiative (inemi) Mario A. Bolanos November 17-18, 2009 1 Organic Packaging Substrate Workshop Work

More information

IMAPS NE 45 A HETEROGENEOUS SIP SOLUTION FOR RF APPLICATIONS

IMAPS NE 45 A HETEROGENEOUS SIP SOLUTION FOR RF APPLICATIONS IMAPS NE 45 A HETEROGENEOUS SIP SOLUTION FOR RF APPLICATIONS May 1st 2018 Justin C. Borski i3 Microsystems Inc. justin.borski@i3microsystems.com A HETEROGENEOUS SIP SOLUTION FOR RF APPLICATIONS Presentation

More information

Radar Devices, Challenges and Packaging Technology Solutions

Radar Devices, Challenges and Packaging Technology Solutions Radar Devices, Challenges and Packaging Technology Solutions FTF-SDS-F0023 Darrel Frear Packaging Technology Development APR.2014 TM External Use Session Introduction This presentation is an overview of

More information

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group Title: Package Model Proposal Source: Nanju Na (nananju@us.ibm.com) Jean Audet (jaudet@ca.ibm.com), David R Stauffer (dstauffe@us.ibm.com) Date: Dec 27 IBM Systems and Technology Group Abstract: New package

More information

Features. Applications. Markets

Features. Applications. Markets 3.2Gbps Precision, LVPECL Buffer with Internal Termination and Fail Safe Input General Description The is a 2.5/3.3V, high-speed, fully differential LVPECL buffer optimized to provide only 108fs RMS phase

More information

Design of the Power Delivery System for Next Generation Gigahertz Packages

Design of the Power Delivery System for Next Generation Gigahertz Packages Design of the Power Delivery System for Next Generation Gigahertz Packages Madhavan Swaminathan Professor School of Electrical and Computer Engg. Packaging Research Center madhavan.swaminathan@ece.gatech.edu

More information

Brief Introduction of Sigurd IC package Assembly

Brief Introduction of Sigurd IC package Assembly Brief Introduction of Sigurd IC package Assembly Content Package Development Trend Product Brief Sawing type QFN Representative MEMS Product LGA Light Sensor Proximity Sensor High Yield Capability Low

More information

Fan-Out Wafer Level Packaging Patent Landscape Analysis

Fan-Out Wafer Level Packaging Patent Landscape Analysis Fan-Out Wafer Level Packaging Patent Landscape Analysis Source: Infineon Source: TSMC Source: ASE November 2016 Source: Deca Technologies Source: STATS ChipPAC Source: Nepes KnowMade Patent & Technology

More information

Integrated Photonics using the POET Optical InterposerTM Platform

Integrated Photonics using the POET Optical InterposerTM Platform Integrated Photonics using the POET Optical InterposerTM Platform Dr. Suresh Venkatesan CIOE Conference Shenzhen, China Sept. 5, 2018 POET Technologies Inc. TSXV: PUBLIC POET PTK.V Technologies Inc. PUBLIC

More information

1Gbps to 12.5Gbps Passive Equalizer for Backplanes and Cables

1Gbps to 12.5Gbps Passive Equalizer for Backplanes and Cables 19-46; Rev 2; 2/8 EVALUATION KIT AVAILABLE 1Gbps to 12.Gbps General Description The is a 1Gbps to 12.Gbps equalization network that compensates for transmission medium losses encountered with FR4 and cables.

More information

HMC-AUH232 MICROWAVE & OPTICAL DRIVER AMPLIFIERS - CHIP. GaAs HEMT MMIC MODULATOR DRIVER AMPLIFIER, DC - 43 GHz. Typical Applications.

HMC-AUH232 MICROWAVE & OPTICAL DRIVER AMPLIFIERS - CHIP. GaAs HEMT MMIC MODULATOR DRIVER AMPLIFIER, DC - 43 GHz. Typical Applications. DRIVER AMPLIFIER, DC - 3 GHz Typical Applications This is ideal for: 0 Gb/s Lithium Niobate/ Mach Zender Fiber Optic Modulators Broadband Gain Block for Test & Measurement Equipment Broadband Gain Block

More information

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Brett Sawyer, Bruce C. Chou, Saumya Gandhi, Jack Mateosky, Venky Sundaram, and Rao Tummala 3D

More information

The Advantages of Integrated MEMS to Enable the Internet of Moving Things

The Advantages of Integrated MEMS to Enable the Internet of Moving Things The Advantages of Integrated MEMS to Enable the Internet of Moving Things January 2018 The availability of contextual information regarding motion is transforming several consumer device applications.

More information

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014 Considerations in High-Speed High Performance Die-Package-Board Co-Design Jenny Jiang Altera Packaging Department October 2014 Why Co-Design? Complex Multi-Layer BGA Package Horizontal and vertical design

More information

Disruptive Developments for Advanced Die Attach to Tackle the Challenges of Heterogeneous Integration

Disruptive Developments for Advanced Die Attach to Tackle the Challenges of Heterogeneous Integration Disruptive Developments for Advanced Die Attach to Tackle the Challenges of Heterogeneous Integration Hugo Pristauz & Andreas Mayr, Besi Austria presented by: Stefan Behler, Besi Switzerland ECTC 2018

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Features. Applications

Features. Applications 267MHz 1:2 3.3V HCSL/LVDS Fanout Buffer PrecisionEdge General Description The is a high-speed, fully differential 1:2 clock fanout buffer with a 2:1 input MUX optimized to provide two identical output

More information

Smart Devices of 2025

Smart Devices of 2025 Smart Devices of 2025 Challenges for Packaging of Future Device Technologies Steve Riches/Kevin Cannon Tribus-D Ltd CW Workshop 27 March 2018 E:mail: info@tribus-d.uk M: 07804 980 954 Assembly Technology

More information

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th

BCD Smart Power Roadmap Trends and Challenges. Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th BCD Smart Power Roadmap Trends and Challenges Giuseppe Croce NEREID WORKSHOP Smart Energy Bertinoro, October 20 th Outline 2 Introduction Major Trends in Smart Power ASICs An insight on (some) differentiating

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

High Performance MEMS Jitter Attenuator

High Performance MEMS Jitter Attenuator Moisture Sensitivity Level: MSL=1 FEATURES: APPLICATIONS: Low power and miniature package programmable jitter attenuator 1/10/40/100 Gigabiy Ethernet (GbE) Input frequency up to 200MHz SONET/SDH Output

More information

Features. Applications. Markets

Features. Applications. Markets 4.25Gbps Precision, 1:2 CML Fanout Buffer with Internal Termination and Fail Safe Input General Description The is a 2.5/3.3V, high-speed, fully differential 1:2 CML fanout buffer optimized to provide

More information

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538 Innovations Push Package-on-Package Into New Markets by Flynn Carson STATS ChipPAC Inc. 47400 Kato Rd Fremont, CA 94538 Copyright 2010. Reprinted from Semiconductor International, April 2010. By choosing

More information

Trends in Advanced Packaging Technologies An IMAPS UK view

Trends in Advanced Packaging Technologies An IMAPS UK view Trends in Advanced Packaging Technologies An IMAPS UK view Andy Longford Chair IMAPS UK 2007 9 PandA Europe IMAPS UK IeMRC Interconnection event December 2008 1 International Microelectronics And Packaging

More information

Advanced Packaging Technology Symposium

Advanced Packaging Technology Symposium Advanced Packaging Technology Symposium General Information Date Wednesday, September 7 th, 2016 Venue Theme Forum Chairman Moderator 08:30 17:00 (08:30 09:00 for registration) Grande Luxe Banquet Grand

More information

LoopBack Relay. GLB363 Series. With Built-in AC Bypass Capacitors / DC LoopBack Relay

LoopBack Relay. GLB363 Series. With Built-in AC Bypass Capacitors / DC LoopBack Relay GLB363 Series With Built-in AC Bypass Capacitors / DC SERIES DESIGNATION GLB363 RELAY TYPE, Sensitive Coil, Surface Mount Ground Shield and Stub pins with AC Bypass Capacitors or No capacitor DESCRIPTION

More information

SY55859L. General Description. Features. Applications. 3.3V, 3.2Gbps Dual 2X2 Crosspoint Switch

SY55859L. General Description. Features. Applications. 3.3V, 3.2Gbps Dual 2X2 Crosspoint Switch 3.3V, 3.2Gbps Dual 2X2 Crosspoint Switch General Description The is a dual CML 2x2 crosspoint switch optimized for high-speed data and/or clock applications (up to 3.2Gbps or 2.7GHz) where low jitter and

More information

/14/$ IEEE 470

/14/$ IEEE 470 Analysis of Power Distribution Network in Glass, Silicon Interposer and PCB Youngwoo Kim, Kiyeong Kim Jonghyun Cho, and Joungho Kim Department of Electrical Engineering, KAIST Daejeon, South Korea youngwoo@kaist.ac.kr

More information

13607CP 13 GHz Latched Comparator Data Sheet

13607CP 13 GHz Latched Comparator Data Sheet 13607CP 13 GHz Latched Comparator Data Sheet Applications Broadband test and measurement equipment High speed line receivers and signal regeneration Oscilloscope and logic analyzer front ends Threshold

More information

Product Catalog. Semiconductor Intellectual Property & Technology Licensing Program

Product Catalog. Semiconductor Intellectual Property & Technology Licensing Program Product Catalog Semiconductor Intellectual Property & Technology Licensing Program MANUFACTURING PROCESS TECHNOLOGY OVERVIEW 90 nm 130 nm 0.18 µm 0.25 µm 0.35 µm >0.40 µm Logic CMOS SOI CMOS SOI CMOS SOI

More information

Understanding, measuring, and reducing output noise in DC/DC switching regulators

Understanding, measuring, and reducing output noise in DC/DC switching regulators Understanding, measuring, and reducing output noise in DC/DC switching regulators Practical tips for output noise reduction Katelyn Wiggenhorn, Applications Engineer, Buck Switching Regulators Robert Blattner,

More information

High Efficient Heat Dissipation on Printed Circuit Boards. Markus Wille, R&D Manager, Schoeller Electronics Systems GmbH

High Efficient Heat Dissipation on Printed Circuit Boards. Markus Wille, R&D Manager, Schoeller Electronics Systems GmbH High Efficient Heat Dissipation on Printed Circuit Boards Markus Wille, R&D Manager, Schoeller Electronics Systems GmbH m.wille@se-pcb.de Introduction 2 Heat Flux: Q x y Q z The substrate (insulation)

More information

HA4600. Features. 480MHz, SOT-23, Video Buffer with Output Disable. Applications. Pinouts. Ordering Information. Truth Table

HA4600. Features. 480MHz, SOT-23, Video Buffer with Output Disable. Applications. Pinouts. Ordering Information. Truth Table TM Data Sheet June 2000 File Number 3990.6 480MHz, SOT-23, Video Buffer with Output Disable The is a very wide bandwidth, unity gain buffer ideal for professional video switching, HDTV, computer monitor

More information

Co-Design for 1TB System Utilizing 28Gbps Transceivers in 20nm Technology

Co-Design for 1TB System Utilizing 28Gbps Transceivers in 20nm Technology DesignCon 2015 Co-Design for 1TB System Utilizing 28Gbps Transceivers in 20nm Technology Hong Shi, Xilinx [hongs@xilinx.com ] Sarajuddin Niazi, Xilinx sarajud@xilinx.com Romi Mayder, Xilinx romim@xilinx.com

More information

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology

Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Flexible Hybrid Electronics Fabricated with High-Performance COTS ICs using RTI CircuitFilm TM Technology Scott Goodwin 1, Erik Vick 2 and Dorota Temple 2 1 Micross Advanced Interconnect Technology Micross

More information

Chapter 2. Literature Review

Chapter 2. Literature Review Chapter 2 Literature Review 2.1 Development of Electronic Packaging Electronic Packaging is to assemble an integrated circuit device with specific function and to connect with other electronic devices.

More information

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions

TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions TSV MEOL (Mid-End-Of-Line) and its Assembly/Packaging Technology for 3D/2.5D Solutions Seung Wook YOON, D.J. Na, *K. T. Kang, W. K. Choi, C.B. Yong, *Y.C. Kim and Pandi C. Marimuthu STATS ChipPAC Ltd.

More information

Preliminary Product Overview

Preliminary Product Overview Preliminary Product Overview Features DC to > 3 GHz Frequency Range 25 Watt (CW), 200W (Pulsed) Max Power Handling Low On-State Insertion Loss, typical 0.3 db @ 3 GHz Low On-State Resistance < 0.75 Ω 25dB

More information

WD3122EC. Descriptions. Features. Applications. Order information. High Efficiency, 28 LEDS White LED Driver. Product specification

WD3122EC. Descriptions. Features. Applications. Order information. High Efficiency, 28 LEDS White LED Driver. Product specification High Efficiency, 28 LEDS White LED Driver Descriptions The is a constant current, high efficiency LED driver. Internal MOSFET can drive up to 10 white LEDs in series and 3S9P LEDs with minimum 1.1A current

More information

AD9300 SPECIFICATIONS ELECTRICAL CHARACTERISTICS ( V S = 12 V 5%; C L = 10 pf; R L = 2 k, unless otherwise noted) COMMERCIAL 0 C to +70 C Test AD9300K

AD9300 SPECIFICATIONS ELECTRICAL CHARACTERISTICS ( V S = 12 V 5%; C L = 10 pf; R L = 2 k, unless otherwise noted) COMMERCIAL 0 C to +70 C Test AD9300K a FEATURES 34 MHz Full Power Bandwidth 0.1 db Gain Flatness to 8 MHz 72 db Crosstalk Rejection @ 10 MHz 0.03 /0.01% Differential Phase/Gain Cascadable for Switch Matrices MIL-STD-883 Compliant Versions

More information

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING Henry H. Utsunomiya Interconnection Technologies, Inc. Suwa City, Nagano Prefecture, Japan henryutsunomiya@mac.com ABSTRACT This presentation will outline

More information

FPF1320 / FPF1321 IntelliMAX Dual-Input Single-Output Advanced Power Switch with True Reverse-Current Blocking

FPF1320 / FPF1321 IntelliMAX Dual-Input Single-Output Advanced Power Switch with True Reverse-Current Blocking FPF1320 / FPF1321 IntelliMAX Dual-Input Single-Output Advanced Power Switch with True Reverse-Current Blocking Features DISO Load Switches Input Supply Operating Range: 1.5V ~ 5.5V R ON 50mΩ at V IN =3.3V

More information

Yole Developpement. Developpement-v2585/ Publisher Sample

Yole Developpement.  Developpement-v2585/ Publisher Sample Yole Developpement http://www.marketresearch.com/yole- Developpement-v2585/ Publisher Sample Phone: 800.298.5699 (US) or +1.240.747.3093 or +1.240.747.3093 (Int'l) Hours: Monday - Thursday: 5:30am - 6:30pm

More information

An innovative plating system

An innovative plating system Volume 38 Issue 1 2016 @siliconsemi www.siliconsemiconductor.net Linde: On-site generated fl uorine The year that was 2015 An innovative plating system for next generation packaging technologies Imec s

More information

NOT RECOMMENDED FOR NEW DESIGNS. 3.3V/5V 3GHz PECL/ECL 2:1 MULTIPLEXER

NOT RECOMMENDED FOR NEW DESIGNS. 3.3V/5V 3GHz PECL/ECL 2:1 MULTIPLEXER NOT RECOMMENDED FOR NEW DESIGNS Micrel, Inc. 3.3V/5V 3GHz PECL/ECL 2:1 MULTIPLEXER FEATURES 2:1 PECL/ECL multiplexer Guaranteed AC-performance over temperature/ voltage >3GHz f MAX (toggle)

More information

HMC914LP4E. limiting amplifiers - smt Gbps LIMITING AMPLIFIER w/ LOSS OF SIGNAL FEATURE. Typical Applications. General Description

HMC914LP4E. limiting amplifiers - smt Gbps LIMITING AMPLIFIER w/ LOSS OF SIGNAL FEATURE. Typical Applications. General Description Typical Applications The is ideal for: SONET/SDH-Based Transmission Systems OC-192 Fiber Optic Modules 1 Gigabit Ethernet 8x and 1x Fiber Channel Wideband RF Gain Block Features Supports Data Rates up

More information

ASNT5530-KMC DC-45Gbps Limiting Amplifier

ASNT5530-KMC DC-45Gbps Limiting Amplifier ASNT5530-KMC DC-45Gbps Limiting Amplifier Broadband limiting amplifier with adjustable gain, output peaking, and offset controls Low jitter and limited temperature variation over industrial temperature

More information

SY84403BL. General Description. Features. Applications. Typical Performance. Markets

SY84403BL. General Description. Features. Applications. Typical Performance. Markets Ultra Small 3.3V 4.25Gbps CML Low-Power Limiting Post Amplifier with TTL LOS General Description The is the industry s smallest limiting post amplifier ideal for compact copper and fiber optic module applications.

More information

Custom MMIC Packaging Solutions for High Frequency Thermally Efficient Surface Mount Applications.

Custom MMIC Packaging Solutions for High Frequency Thermally Efficient Surface Mount Applications. Custom MMIC Packaging Solutions for High Frequency Thermally Efficient Surface Mount Applications. Steve Melvin Principal Engineer Teledyne-Labtech 8 Vincent Avenue, Crownhill, Milton Keynes, MK8 AB Tel

More information

Case Study Package Design & SI/PI analysis

Case Study Package Design & SI/PI analysis Caliber Interconnect Solutions Design for perfection Case Study Package Design & SI/PI analysis Caliber Interconnect Solutions (Pvt) Ltd No 6,1 st Street Gandhi Nagar, Kavundampalayam, Coimbatore-30. Tamil

More information

techniques, and gold metalization in the fabrication of this device.

techniques, and gold metalization in the fabrication of this device. Up to 6 GHz Medium Power Silicon Bipolar Transistor Chip Technical Data AT-42 Features High Output Power: 21. dbm Typical P 1 db at 2. GHz 2.5 dbm Typical P 1 db at 4. GHz High Gain at 1 db Compression:

More information

TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President

TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President TechSearch International, Inc. Corporate Overview E. Jan Vardaman, President Corporate Background Founded in 1987 and headquartered in Austin, Texas Recognized around the world as a leading consulting

More information

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation West Coast Luncheon January 15, 2014. PROMEX PROMEX INDUSTRIES INC. MICROELECTRONICS ASSSEMBLY TECHNOLOGIES The QFN Platform as a Chip Packaging Foundation 3075 Oakmead Village Drive Santa Clara CA Ɩ 95051

More information

The 3D silicon leader. March 2012

The 3D silicon leader. March 2012 The 3D silicon leader March 2012 IPDiA overview Company located in Caen, Normandy, France Dedicated to manufacturing of integrated passive devices Employing 100 people and operating own wafer fab Strong

More information

Typical Performance 1. Absolute Maximum Ratings. Parameter

Typical Performance 1. Absolute Maximum Ratings. Parameter Device Features Typical Isolation = 23 db Typical Insertion Loss = 0.5 db MSL 3 moisture rating Lead-free/RoHS-compliant SOIC-8 Plastic Package With exposed back side ground pad Product Description BeRex

More information

ULTRA PRECISION 4 4 CML SWITCH WITH INTERNAL I/O TERMINATION

ULTRA PRECISION 4 4 CML SWITCH WITH INTERNAL I/O TERMINATION ULTRA PRECISION 4 4 CML SWITCH WITH INTERNAL I/O TERMINATION Precision Edge FEATURES Provides crosspoint switching between any input pair to any output pair Guaranteed AC performance over temperature and

More information

Up to 6 GHz Low Noise Silicon Bipolar Transistor Chip. Technical Data AT-41400

Up to 6 GHz Low Noise Silicon Bipolar Transistor Chip. Technical Data AT-41400 Up to 6 GHz Low Noise Silicon Bipolar Transistor Chip Technical Data AT-1 Features Low Noise Figure: 1.6 db Typical at 3. db Typical at. GHz High Associated Gain: 1.5 db Typical at 1.5 db Typical at. GHz

More information