Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Size: px
Start display at page:

Download "Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it."

Transcription

1 Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from. Sales Support Training Emag FEA CFD Ozen Engineering Inc. ANSYS Channel Partner & Distributor 1210 East Arques Ave. #207, Sunnyvale, CA Telephone: (408) info@ozeninc.com Web:

2 Technical Paper Understanding Power Integrity By definition, power integrity in integrated circuits (IC) is the practice of ensuring and verifying that all the transistors on a chip have proper voltage and current in order to operate at their intended performance levels. A power delivery network is a complex chain of interconnects, designed to deliver electrons from the voltage regulator, through the PCB power delivery network (PDN), across the package, and lastly through the on-die PDN to the transistors themselves. While each aspect of the system s design can contribute to power delivery noise, the engineer must consider the electronic interaction of chip, package and system (CPS) in order to accurately determine the power integrity of a design. To fully understand the full picture of CPS power integrity, the engineer must look at the individual power noise contributions from chip, package and printed circuit board (PCB). Starting at the receiving end of the PDN, on-die, power is delivered through the IC s power connections (wirebond pads or C4 RDL bumps) through the multi-layer power grid. The power grid inherently has non-ideal impedance that ultimately results in voltage drop at the transistors. In the past, technology node voltage drop analysis was solved using a static IR drop methodology. Figure 1. Static IR drop analysis modeling. A DC voltage source modeling the power supply is connected to a chip model. Transistors are modeled as DC average current sources and the PDN is modeled solely by its resistive properties. In a static approach, R&D teams model transistors as time-domain average DC current sources, while the power grid is extracted as a resistance network. All time-dependent passive components are ignored in a static DC average simulation. The full-chip PDN is stitched together as a mesh of current sources and resistors, and subsequently Ohm s law (V=IR) is solved to determine the voltage level at the transistors. Since the industry has migrated to the deep sub-micron technology nodes, analysis methodologies have shifted from an average IR drop paradigm to a dynamic, time-dependent solution that more accurately represents the chip during its operation. In the time domain, power grid capacitance and inductance are included in the mesh. The transistors themselves are modeled as time-varying current sources that depend on the voltage, load and input slew for each logic gate. Figure 2. Dynamic voltage drop analysis modeling. The power supply is connected to a chip model where the transistors are now modeled as time-domain current profi les which are a function of load, VDD level and input slew. The PDN is completely modeled with the R, L and C components necessary for dynamic simulation. All capacitive coupling components are included. The timing of an IC cell switching is a critical factor to correctly analyze the impact of the PDN. A large number of locally placed transistors firing at the same time have a cumulative effect on the peak current demand in a particular region. Standard cell capacitance comes into play, as charge accumulates on both intentional decap cells as well as non-switching standard cells. The current demanded by the dynamically switching transistors must be supplied either by the charge accumulated on these nearby cells or it must come from the off-chip regulator, resulting in dynamic voltage 1

3 Understanding Power Integrity drop. In addition, the extracted power grid mesh (PDN) must now consider capacitive and inductive coupling since these passive elements become a factor due to their time-dependent behavior. Traditional static IR analyses ignore the time-dependent inductance and capacitance; thereby the PDN s resistance plays the only analytic role during analysis. In summary, a dynamic transient power analysis involves the resistance, capacitance and inductance parasitics of the chip and the switching current demand (di dt) of each cell during its operation. Solving for voltage becomes a function of the following equations: V = I 2R, V=L di, and I=C dv dt dt Once the complete mesh is stitched together, a transient circuit solver is used to determine the time-varying voltage v(t) at each transistor, after which sign-off criteria can be weighed against the results. Figure 3. Example of modern 12-layer package layout. IC complexity and multiple power domains drive designs to take on complex geometries thereby increasing package impedance. As mentioned, a system s power delivery network reaches the chip through the package and PCB network. To ensure that the chip receives an adequate amount of stable voltage, the package and PCB design involve reducing impedance at all frequency ranges. As technology scales, the drive to reduce cost and lower power contribute to package and PCB design complexity. For example, in order to reduce package cost, the numbers of layers allowed for package implementation are kept to a minimum. Low-power design methodology involves the technique of creating multiple power domains such that lower performance blocks on-chip can receive a reduced voltage, saving on power consumption, in comparison to high-performance blocks. Because of this trend, engineers are seeing power domain counts in the hundreds. Lack of routing real estate and increased numbers of power domains force designers to move away from the implementation of large planes dedicated to the power and ground domains. Instead, Swiss-cheese type structures are put into place. This significantly adds to package and PCB design complexity, in which the amount of routing layers grows inversely to the power domain count in modern electronic design. Ultimately, these trends contribute to increased package and PCB impedance. Before the power even reaches the die, the design experiences a voltage drop at the chip package interface. This applies to static IR drop, but more significantly in dynamic voltage drop for which time-dependent passive elements (especially inductance) play a critical factor. Typically, in comparison to the chip, the package design is highly inductive in nature. The largest component of power delivery voltage drop in the package is due to inductive voltage drop across the package governed by V=L di dt 2 The package voltage drop itself can constitute 5 percent to 10 percent of the overall voltage drop seen at the transistors from the nominal supply voltage. As can be determined from the last equation, package voltage drop is indeed a function of the package s extracted inductance, but is also scaled by the current demand (di dt) of the chip. The package voltage drop

4 Understanding Power Integrity contribution is not only dependent on the package itself but the chip and PCB as well. This show s the importance of looking at the complete system in regard to power analysis. As seen in time-domain dynamic voltage drop analysis, a large amount of simultaneously switching instances can cause a cumulative spike in current demand from the chip, which must be supplied from the package, PCB and ultimately the VRM. These spikes in current have been shown to be a major cause of design failure especially in high-current modes such automatic test pattern generation (ATPG) test mode, where simultaneous switching is encouraged to ultimately save time and money on the automated test equipment (ATE) test machine. System-wide power integrity must also be solved in the frequency domain. Because impedance is a complex metric Z(ω)=R+ 1 jωl the impedance of the system varies as a function of frequency. Designers must ensure that the PDN impedance is under the specification limits at the frequencies of operation of the design. Typically, engineers look at the impedance at the chip package interface where the package connects to the redistribution layers (RDL) C4 bumps. Figure 4. Typical impedance vs. frequency waveform for a CPS frequency-domain analysis. Impedance calculations and optimal decoupling capacitor locations are determined using hybrid electromagnetic fi eld solvers with optimization algorithms. In general, chips and PCBs are more capacitive with respect to their package counterparts. Likewise, packages are largely inductive compared to the on-die and PCB power delivery networks inductance. With this assumption, the frequency of the impedance peaks (also known as resonant peaks) of the system are largely determined by the LC resonance between chip package and package PCB as determined by f= 1 (2π LC) Typically there are two main resonant peaks in a chip package PCB system. Because of the large size and capacitance of the PCB, the coupling between the package and PCB determines the lower frequency peak that typically resides in the 10 MHz to 500 MHz range, depending on the size of the PCB and amount of placed capacitance. The package chip coupling determines the higher-frequency peak (1 GHz to 5 GHz), which depends largely on package inductance, the more variable metric in the f= 1 (2π LC) equation, in which L refers to L package and C refers to C die. 3 Avoidance of the resonant peaks is critical for the power integrity of a system. Package and PCB designers use techniques such as adding decoupling capacitance to lower the frequency of the peaks and suppress peak magnitude. In addition, chip designers insert decoupling capacitance cells to lower the frequency of impedance peaks, but designers will also avoid switching

5 Understanding Power Integrity the on-die transistors at the frequencies around the resonant frequency associated with their power domains. Simply determining resonant peaks based on one component of the system (chip, package or PCB) provides an incomplete solution, as each component uniquely determines the frequency and magnitude of the peak it corresponds to. The best solution for PDNs is to solve for a CPS solution that includes an IC chip power model, package model and PCB model simultaneously with electromagnetic field solvers. Impedance peak determination is a must-have step in the power integrity sign-off of IC design, as these peaks coincide with the maximum impedance values of the system. Maximum impedance is scaled by a parameter known as the quality factor, or Q, which can be related proportionately to Q ~ Imag{Z} Real{Z} in which Z is the impedance of the system. In oscillator theory, higher Q indicates a lower rate of energy loss relative to the stored energy of the oscillator; the oscillations die out more slowly. Physically speaking, Q is 2π times the ratio of the total energy stored divided by the energy lost in a single cycle, or equivalently, the ratio of the stored energy to the energy dissipated over one radian of the oscillation [1]. For an ideal series RLC circuit, which can be used to represent a chip package PCB PDN, Q is defined as Figure 5. Example of a time domain simulation of a chip package PCB analysis that operates around resonant frequency. Top waveform: current demand of the switching instances of the chip vs. time. Middle waveform: current flow from battery to the chip vs. time. Bottom waveform: voltage noise is observed at the bumps. An exaggerated noise ringing effect can be seen at the bumps. Q = LC R an equation that refers to the parasitics of each component of the system. In a PDN system, resistance and inductance are largely determined by the architecture of the PDN, which is bound to the main goal of PDN routing getting a power signal from the voltage regulator to the transistors, in multiple power domains, without congestion or shorting. In other words, there is a lack of freedom to adjust PDN routing significantly to reduce L or increase R. As with resonance frequency adjustment, designers will add capacitance on the chip, package or PCB with a sub-linear effect on the peak impedance. Minimization of peak impedance contributions from CPS resonance is critical as it directly influences the power noise seen at the chip package interface. Hybrid electromagnetic field solvers provide the Q of the entire system including decoupling capacitors from the IC to the PCB. When the current flow of a power domain happens to coincide with its resonance frequency, an undesired ringing effect occurs as power domain noise is exaggerated and temporally extended. As can be seen in Figure 5, the voltage potential (bottom waveform) at the C4 bumps of the design takes on a diminishing sinusoidal form, in which the magnitude and time to dampen are determined by the quality factor of the system. 4

6 Understanding Power Integrity Resonant frequency avoidance is critical in ensuring power integrity. A design might be under the voltage noise limits under normal conditions, but operating at resonant frequency will almost always result in failure due to the exaggeration of power noise. In the above example, voltage noise seen at the bumps reaches 94 percent of ideal voltage in a chip-only dynamic voltage drop analysis. However, once the package and PCB models are added to the analysis and resonance is seen, voltage drop exceeds 12 percent of ideal voltage. As can also be observed, voltage and current overshoots occur, which is an effect only of resonant ringing. Summary Numerous factors contribute to the power noise of a system. The chip, package and PCB each contribute to power noise individually, but numerous power integrity issues are affected by the mutual interaction of these various components of the system. This can be observed in time-domain voltage drop analysis, frequency domain impedance minimization and resonance frequency avoidance. In summary, power integrity must be analyzed in the context of the complete system to solve the interactive complexities of the system. Reference [1] Jackson, R. (2004). Novel Sensors and Sensing. Bristol: Institute of Physics Pub. pp. 28. Keywords Power integrity, power delivery network, power optimization, chip package system, CPS ANSYS, Inc. Southpointe 275 Technology Drive Canonsburg, PA U.S.A ansysinfo@ansys.com 2013 ANSYS, Inc. All Rights Reserved. ANSYS, Inc. is one of the world s leading engineering simulation software providers. Its technology has enabled customers to predict with accuracy that their product designs will thrive in the real world. The company offers a common platform of fully integrated multiphysics software tools designed to optimize product development processes for a wide range of industries, including aerospace, automotive, civil engineering, consumer products, chemical process, electronics, environmental, healthcare, marine, power, sports and others. Applied to design concept, final-stage testing, validation and trouble-shooting existing designs, software from ANSYS can significantly speed design and development times, reduce costs, and provide insight and understanding into product and process performance. Visit for more information. Any and all ANSYS, Inc. brand, product, service and feature names, logos and slogans are registered trademarks or trademarks of ANSYS, Inc. or its subsidiaries in the United States or other countries. All other brand, product, service and feature names or trademarks are the property of their respective owners.

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support

Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training & Support www.ozeninc.com info@ozeninc.com (408) 732 4665 1210 E Arques Ave St 207 Sunnyvale, CA 94085 Reliable World Class Insights Your Silicon Valley Partner in Simulation ANSYS Sales, Consulting, Training &

More information

High-Performance Electronic Design: Predicting Electromagnetic Interference

High-Performance Electronic Design: Predicting Electromagnetic Interference White Paper High-Performance Electronic Design: In designing electronics in today s highly competitive markets, meeting requirements for electromagnetic compatibility (EMC) presents a major risk factor,

More information

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design DesignCon 2009 Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design Hsing-Chou Hsu, VIA Technologies jimmyhsu@via.com.tw Jack Lin, Sigrity Inc.

More information

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces DesignCon 2010 On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces Ralf Schmitt, Rambus Inc. [Email: rschmitt@rambus.com] Hai Lan, Rambus Inc. Ling Yang, Rambus Inc. Abstract

More information

Signal integrity means clean

Signal integrity means clean CHIPS & CIRCUITS As you move into the deep sub-micron realm, you need new tools and techniques that will detect and remedy signal interference. Dr. Lynne Green, HyperLynx Division, Pads Software Inc The

More information

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis

Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Chip Package - PC Board Co-Design: Applying a Chip Power Model in System Power Integrity Analysis Authors: Rick Brooks, Cisco, ricbrook@cisco.com Jane Lim, Cisco, honglim@cisco.com Udupi Harisharan, Cisco,

More information

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY Rémy FERNANDES Lead Application Engineer ANSYS 1 2018 ANSYS, Inc. February 2, 2018 ANSYS ANSYS - Engineering simulation software leader Our industry reach

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Presented by Chad Smutzer Mayo Clinic Special Purpose Processor Development

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi High Speed Design Issues and Jitter Estimation Techniques Jai Narayan Tripathi (jainarayan.tripathi@st.com) Outline Part 1 High-speed Design Issues Signal Integrity Power Integrity Jitter Power Delivery

More information

PDS Impact for DDR Low Cost Design

PDS Impact for DDR Low Cost Design PDS Impact for DDR3-1600 Low Cost Design Jack W.C. Lin Sr. AE Manager jackl@cadence.com Aug. g 13 2013 Cadence, OrCAD, Allegro, Sigrity and the Cadence logo are trademarks of Cadence Design Systems, Inc.

More information

Beyond Electromagnetic Component Modeling: Extending Finite Element Analysis to Include Multidomain System Simulation

Beyond Electromagnetic Component Modeling: Extending Finite Element Analysis to Include Multidomain System Simulation Application Brief Beyond Electromagnetic Component Modeling: Extending Finite Introduction This paper describes how ANSYS Maxwell with its built-in system simulation capability facilitates the validation

More information

A Solution to Simplify 60A Multiphase Designs By John Lambert & Chris Bull, International Rectifier, USA

A Solution to Simplify 60A Multiphase Designs By John Lambert & Chris Bull, International Rectifier, USA A Solution to Simplify 60A Multiphase Designs By John Lambert & Chris Bull, International Rectifier, USA As presented at PCIM 2001 Today s servers and high-end desktop computer CPUs require peak currents

More information

CAPLESS REGULATORS DEALING WITH LOAD TRANSIENT

CAPLESS REGULATORS DEALING WITH LOAD TRANSIENT CAPLESS REGULATORS DEALING WITH LOAD TRANSIENT 1. Introduction In the promising market of the Internet of Things (IoT), System-on-Chips (SoCs) are facing complexity challenges and stringent integration

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Basic Concepts C HAPTER 1

Basic Concepts C HAPTER 1 C HAPTER 1 Basic Concepts Power delivery is a major challenge in present-day systems. This challenge is expected to increase in the next decade as systems become smaller and new materials are introduced

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

AN4819 Application note

AN4819 Application note Application note PCB design guidelines for the BlueNRG-1 device Introduction The BlueNRG1 is a very low power Bluetooth low energy (BLE) single-mode system-on-chip compliant with Bluetooth specification

More information

EMI Modeling of a 32-bit Microcontroller in Wait Mode

EMI Modeling of a 32-bit Microcontroller in Wait Mode EMI Modeling of a 32-bit Microcontroller in Wait Mode Jean-Pierre Leca 1,2, Nicolas Froidevaux 1, Henri Braquet 2, Gilles Jacquemod 2 1 STMicroelectronics, 2 LEAT, UMR CNRS-UNS 6071 BMAS 2010 San Jose,

More information

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise?

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise? Power Supply Networs: Analysis and Synthesis What is Power Supply Noise? Problem: Degraded voltage level at the delivery point of the power/ground grid causes performance and/or functional failure Lower

More information

Controlling Input Ripple and Noise in Buck Converters

Controlling Input Ripple and Noise in Buck Converters Controlling Input Ripple and Noise in Buck Converters Using Basic Filtering Techniques, Designers Can Attenuate These Characteristics and Maximize Performance By Charles Coles, Advanced Analogic Technologies,

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC

Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC DesignCon 2017 Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC Kwangseok Choi, Samsung Electronics Inc. [aquarian505@gmail.com] Byunghyun Lee, Samsung Electronics Inc.

More information

Evaluation of Package Properties for RF BJTs

Evaluation of Package Properties for RF BJTs Application Note Evaluation of Package Properties for RF BJTs Overview EDA simulation software streamlines the development of digital and analog circuits from definition of concept and estimation of required

More information

Effect of Aging on Power Integrity of Digital Integrated Circuits

Effect of Aging on Power Integrity of Digital Integrated Circuits Effect of Aging on Power Integrity of Digital Integrated Circuits A. Boyer, S. Ben Dhia Alexandre.boyer@laas.fr Sonia.bendhia@laas.fr 1 May 14 th, 2013 Introduction and context Long time operation Harsh

More information

Wideband On-die Power Supply Decoupling in High Performance DRAM

Wideband On-die Power Supply Decoupling in High Performance DRAM Wideband On-die Power Supply Decoupling in High Performance DRAM Timothy M. Hollis, Senior Member of the Technical Staff Abstract: An on-die decoupling scheme, enabled by memory array cell technology,

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

On-Chip Inductance Modeling

On-Chip Inductance Modeling On-Chip Inductance Modeling David Blaauw Kaushik Gala ladimir Zolotov Rajendran Panda Junfeng Wang Motorola Inc., Austin TX 78729 ABSTRACT With operating frequencies approaching the gigahertz range, inductance

More information

Modelling electromagnetic field coupling from an ESD gun to an IC

Modelling electromagnetic field coupling from an ESD gun to an IC Modelling electromagnetic field coupling from an ESD gun to an IC Ji Zhang #1, Daryl G Beetner #2, Richard Moseley *3, Scott Herrin *4 and David Pommerenke #5 # EMC Laboratory, Missouri University of Science

More information

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks Sanjay Pant, David Blaauw University of Michigan, Ann Arbor, MI Abstract The placement of on-die decoupling

More information

The Facts about the Input Impedance of Power and Ground Planes

The Facts about the Input Impedance of Power and Ground Planes The Facts about the Input Impedance of Power and Ground Planes The following diagram shows the power and ground plane structure of which the input impedance is computed. Figure 1. Configuration of the

More information

EMI Reduction on an Automotive Microcontroller

EMI Reduction on an Automotive Microcontroller EMI Reduction on an Automotive Microcontroller Design Automation Conference, July 26 th -31 st, 2009 Patrice JOUBERT DORIOL 1, Yamarita VILLAVICENCIO 2, Cristiano FORZAN 1, Mario ROTIGNI 1, Giovanni GRAZIOSI

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

AN2170 APPLICATION NOTE MOSFET Device Effects on Phase Node Ringing in VRM Power Converters INTRODUCTION

AN2170 APPLICATION NOTE MOSFET Device Effects on Phase Node Ringing in VRM Power Converters INTRODUCTION AN2170 APPLICATION NOTE MOSFET Device Effects on Phase Node Ringing in VRM Power Converters INTRODUCTION The growth in production volume of industrial equipment (e.g., power DC-DC converters devoted to

More information

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Mikhail Popovich and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester, Rochester,

More information

Signal Integrity Modeling and Simulation for IC/Package Co-Design

Signal Integrity Modeling and Simulation for IC/Package Co-Design Signal Integrity Modeling and Simulation for IC/Package Co-Design Ching-Chao Huang Optimal Corp. October 24, 2004 Why IC and package co-design? The same IC in different packages may not work Package is

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL POWER INTEGRITY ANALYSIS AND MANAGEMENT I CIRCUITS Raj Nair Donald Bennett PRENTICE HALL Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown

More information

IC Decoupling and EMI Suppression using X2Y Technology

IC Decoupling and EMI Suppression using X2Y Technology IC Decoupling and EMI Suppression using X2Y Technology Summary Decoupling and EMI suppression of ICs is a complex system level engineering problem complicated by the desire for faster switching gates,

More information

DC Link. Charge Controller/ DC-DC Converter. Gate Driver. Battery Cells. System Controller

DC Link. Charge Controller/ DC-DC Converter. Gate Driver. Battery Cells. System Controller Integrate Protection with Isolation In Home Renewable Energy Systems Whitepaper Home energy systems based on renewable sources such as solar and wind power are becoming more popular among consumers and

More information

DUAL STEPPER MOTOR DRIVER

DUAL STEPPER MOTOR DRIVER DUAL STEPPER MOTOR DRIVER GENERAL DESCRIPTION The is a switch-mode (chopper), constant-current driver with two channels: one for each winding of a two-phase stepper motor. is equipped with a Disable input

More information

A passive circuit based RF optimization methodology for wireless sensor network nodes. Article (peer-reviewed)

A passive circuit based RF optimization methodology for wireless sensor network nodes. Article (peer-reviewed) Title Author(s) Editor(s) A passive circuit based RF optimization methodology for wireless sensor network nodes Zheng, Liqiang; Mathewson, Alan; O'Flynn, Brendan; Hayes, Michael; Ó Mathúna, S. Cian Wu,

More information

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications

An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band of Applications IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 10 April 2016 ISSN (online): 2349-784X An Efficient Design of CMOS based Differential LC and VCO for ISM and WI-FI Band

More information

A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping

A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping Jie Gu, Hanyong Eom and Chris H. Kim Department of Electrical and Computer Engineering University of Minnesota, Minneapolis

More information

Research in Support of the Die / Package Interface

Research in Support of the Die / Package Interface Research in Support of the Die / Package Interface Introduction As the microelectronics industry continues to scale down CMOS in accordance with Moore s Law and the ITRS roadmap, the minimum feature size

More information

FPA Printed Circuit Board Layout Guidelines

FPA Printed Circuit Board Layout Guidelines APPLICATION NOTE AN:005 FPA Printed Circuit Board Layout Guidelines Paul Yeaman Principal Product Line Engineer VI Chip Strategic Accounts Contents Page Introduction 1 The Importance of Board Layout 1

More information

Impact of Low-Impedance Substrate on Power Supply Integrity

Impact of Low-Impedance Substrate on Power Supply Integrity Impact of Low-Impedance Substrate on Power Supply Integrity Rajendran Panda and Savithri Sundareswaran Motorola, Austin David Blaauw University of Michigan, Ann Arbor Editor s note: Although it is tempting

More information

Numerical Oscillations in EMTP-Like Programs

Numerical Oscillations in EMTP-Like Programs Session 19; Page 1/13 Spring 18 Numerical Oscillations in EMTP-Like Programs 1 Causes of Numerical Oscillations The Electromagnetic transients program and its variants all use the the trapezoidal rule

More information

Modeling Power Converters using Hard Switched Silicon Carbide MOSFETs and Schottky Barrier Diodes

Modeling Power Converters using Hard Switched Silicon Carbide MOSFETs and Schottky Barrier Diodes Modeling Power Converters using Hard Switched Silicon Carbide MOSFETs and Schottky Barrier Diodes Petros Alexakis, Olayiwola Alatise, Li Ran and Phillip Mawby School of Engineering, University of Warwick

More information

Application Note 5011

Application Note 5011 MGA-62563 High Performance GaAs MMIC Amplifier Application Note 511 Application Information The MGA-62563 is a high performance GaAs MMIC amplifier fabricated with Avago Technologies E-pHEMT process and

More information

SX1261/2 WIRELESS & SENSING PRODUCTS. Application Note: Reference Design Explanation. AN Rev 1.1 May 2018

SX1261/2 WIRELESS & SENSING PRODUCTS. Application Note: Reference Design Explanation.   AN Rev 1.1 May 2018 SX1261/2 WIRELESS & SENSING PRODUCTS Application Note: Reference Design Explanation AN1200.40 Rev 1.1 May 2018 www.semtech.com Table of Contents 1. Introduction... 4 2. Reference Design Versions... 5 2.1

More information

Lecture 4 ECEN 4517/5517

Lecture 4 ECEN 4517/5517 Lecture 4 ECEN 4517/5517 Experiment 3 weeks 2 and 3: interleaved flyback and feedback loop Battery 12 VDC HVDC: 120-200 VDC DC-DC converter Isolated flyback DC-AC inverter H-bridge v ac AC load 120 Vrms

More information

Learning the Curve BEYOND DESIGN. by Barry Olney

Learning the Curve BEYOND DESIGN. by Barry Olney by Barry Olney coulmn BEYOND DESIGN Learning the Curve Currently, power integrity is just entering the mainstream market phase of the technology adoption life cycle. The early market is dominated by innovators

More information

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014

Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application. Institute of Microelectronics 22 April 2014 Electrical Test Vehicle for High Density Fan-Out WLP for Mobile Application Institute of Microelectronics 22 April 2014 Challenges for HD Fan-Out Electrical Design 15-20 mm 7 mm 6 mm SI/PI with multilayer

More information

Exclusive Technology Feature. Integrated Driver Shrinks Class D Audio Amplifiers. Audio Driver Features. ISSUE: November 2009

Exclusive Technology Feature. Integrated Driver Shrinks Class D Audio Amplifiers. Audio Driver Features. ISSUE: November 2009 ISSUE: November 2009 Integrated Driver Shrinks Class D Audio Amplifiers By Jun Honda, International Rectifier, El Segundo, Calif. From automotive entertainment to home theater systems, consumers are demanding

More information

Application Note 5012

Application Note 5012 MGA-61563 High Performance GaAs MMIC Amplifier Application Note 5012 Application Information The MGA-61563 is a high performance GaAs MMIC amplifier fabricated with Avago Technologies E-pHEMT process and

More information

Impedance and Electrical Models

Impedance and Electrical Models C HAPTER 3 Impedance and Electrical Models In high-speed digital systems, where signal integrity plays a significant role, we often refer to signals as either changing voltages or a changing currents.

More information

AN2441 Application note

AN2441 Application note Application note Low cost effective oscillator for STR71x MCUs Introduction The STR71x 32-bit MCU family from STMicroelectronics runs with an external oscillator which is connected to the CK pin. A straightforward

More information

AN_0454. RC Snubber for Class-D Audio Amplifiers INTRODUCTION. Rev 1 MAR 18

AN_0454. RC Snubber for Class-D Audio Amplifiers INTRODUCTION. Rev 1 MAR 18 RC Snubber for Class-D Audio Amplifiers INTRODUCTION High speed switching of power MOSFETs in the power stage of Class-D amplifiers results in output voltage over/undershoot and high frequency ringing

More information

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1

A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power and Low Phase Noise Current Starved VCO Gaurav Sharma 1 IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 01, 2014 ISSN (online): 2321-0613 A Multiobjective Optimization based Fast and Robust Design Methodology for Low Power

More information

6.776 High Speed Communication Circuits and Systems Lecture 14 Voltage Controlled Oscillators

6.776 High Speed Communication Circuits and Systems Lecture 14 Voltage Controlled Oscillators 6.776 High Speed Communication Circuits and Systems Lecture 14 Voltage Controlled Oscillators Massachusetts Institute of Technology March 29, 2005 Copyright 2005 by Michael H. Perrott VCO Design for Narrowband

More information

UNIT-III POWER ESTIMATION AND ANALYSIS

UNIT-III POWER ESTIMATION AND ANALYSIS UNIT-III POWER ESTIMATION AND ANALYSIS In VLSI design implementation simulation software operating at various levels of design abstraction. In general simulation at a lower-level design abstraction offers

More information

System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing

System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing Larry Smith, Shishuang Sun, Peter Boyle, Bozidar Krsnik Altera Corp. Abstract-Power

More information

Design of the Power Delivery System for Next Generation Gigahertz Packages

Design of the Power Delivery System for Next Generation Gigahertz Packages Design of the Power Delivery System for Next Generation Gigahertz Packages Madhavan Swaminathan Professor School of Electrical and Computer Engg. Packaging Research Center madhavan.swaminathan@ece.gatech.edu

More information

Foundry WLSI Technology for Power Management System Integration

Foundry WLSI Technology for Power Management System Integration 1 Foundry WLSI Technology for Power Management System Integration Chuei-Tang Wang, Chih-Lin Chen, Jeng-Shien Hsieh, Victor C.Y. Chang, Douglas Yu R&D,TSMC Oct. 2016 2 Motivation Outline PMIC system integration

More information

Importance of measuring parasitic capacitance in isolated gate drive applications. W. Frank Infineon Technologies

Importance of measuring parasitic capacitance in isolated gate drive applications. W. Frank Infineon Technologies Importance of measuring parasitic capacitance in isolated gate drive applications W. Frank Infineon Technologies Contents 1 Why is capacitive coupling important in high voltage (HV) applications? 2 Measurement

More information

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers 04/29/03 EE371 Power Delivery D. Ayers 1 VLSI Power Delivery David Ayers 04/29/03 EE371 Power Delivery D. Ayers 2 Outline Die power delivery Die power goals Typical processor power grid Transistor power

More information

LC Resonant Circuits Dr. Roger King June Introduction

LC Resonant Circuits Dr. Roger King June Introduction LC Resonant Circuits Dr. Roger King June 01 Introduction Second-order systems are important in a wide range of applications including transformerless impedance-matching networks, frequency-selective networks,

More information

APPLICATION NOTE 735 Layout Considerations for Non-Isolated DC-DC Converters

APPLICATION NOTE 735 Layout Considerations for Non-Isolated DC-DC Converters Maxim > App Notes > AUTOMOTIVE GENERAL ENGINEERING TOPICS POWER-SUPPLY CIRCUITS PROTOTYPING AND PC BOARD LAYOUT Keywords: printed circuit board, PCB layout, parasitic inductance, parasitic capacitance,

More information

Development and Validation of a Microcontroller Model for EMC

Development and Validation of a Microcontroller Model for EMC Development and Validation of a Microcontroller Model for EMC Shaohua Li (1), Hemant Bishnoi (1), Jason Whiles (2), Pius Ng (3), Haixiao Weng (2), David Pommerenke (1), and Daryl Beetner (1) (1) EMC lab,

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

Accurate Simulation of RF Designs Requires Consistent Modeling Techniques

Accurate Simulation of RF Designs Requires Consistent Modeling Techniques From September 2002 High Frequency Electronics Copyright 2002, Summit Technical Media, LLC Accurate Simulation of RF Designs Requires Consistent Modeling Techniques By V. Cojocaru, TDK Electronics Ireland

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

Heat sink. Insulator. µp Package. Heatsink is shown with parasitic coupling.

Heat sink. Insulator. µp Package. Heatsink is shown with parasitic coupling. X2Y Heatsink EMI Reduction Solution Summary Many OEM s have EMI problems caused by fast switching gates of IC devices. For end products sold to consumers, products must meet FCC Class B regulations for

More information

Measurement and Comparative S21 Performance of Raw and Mounted Decoupling Capacitors

Measurement and Comparative S21 Performance of Raw and Mounted Decoupling Capacitors Measurement and Comparative S21 Performance of Raw and Mounted Decoupling Capacitors Summary Introduction Capacitors All IC power systems require some level of passive decoupling. The ability to accurately

More information

Methodology for MMIC Layout Design

Methodology for MMIC Layout Design 17 Methodology for MMIC Layout Design Fatima Salete Correra 1 and Eduardo Amato Tolezani 2, 1 Laboratório de Microeletrônica da USP, Av. Prof. Luciano Gualberto, tr. 3, n.158, CEP 05508-970, São Paulo,

More information

High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516

High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516 High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516 APPLICATION REPORT: SLMA003A Boyd Barrie Bus Solutions Mixed Signals DSP Solutions September 1998 IMPORTANT NOTICE Texas Instruments

More information

Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc.

Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc. February 2014 Low Noise Amplifier Design Methodology Summary By Ambarish Roy, Skyworks Solutions, Inc. Low Noise Amplifiers (LNAs) amplify weak signals received by the antenna in communication systems.

More information

Modeling and Simulation of Powertrains for Electric and Hybrid Vehicles

Modeling and Simulation of Powertrains for Electric and Hybrid Vehicles Modeling and Simulation of Powertrains for Electric and Hybrid Vehicles Dr. Marco KLINGLER PSA Peugeot Citroën Vélizy-Villacoublay, FRANCE marco.klingler@mpsa.com FR-AM-5 Background The automotive context

More information

Probing Techniques for Signal Performance Measurements in High Data Rate Testing

Probing Techniques for Signal Performance Measurements in High Data Rate Testing Probing Techniques for Signal Performance Measurements in High Data Rate Testing K. Helmreich, A. Lechner Advantest Test Engineering Solutions GmbH Contents: 1 Introduction: High Data Rate Testing 2 Signal

More information

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique ECE1352 Term Paper Low Voltage Phase-Locked Loop Design Technique Name: Eric Hu Student Number: 982123400 Date: Nov. 14, 2002 Table of Contents Abstract pg. 04 Chapter 1 Introduction.. pg. 04 Chapter 2

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 CPE/EE 427, CPE 527 VLSI Design I L02: Design Metrics Department of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-03f

More information

Smart Multichannel Switches

Smart Multichannel Switches Application Note, V1.2, August 2005 Smart Multichannel Switches Technical considerations for parallel channel operation applications Automotive Power by Bernard Wang Never stop thinking. Edition 2005-08

More information

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Amber Path FX is a trusted analysis solution for designers trying to close on power, performance, yield and area in 40 nanometer processes

More information

PART MAX2605EUT-T MAX2606EUT-T MAX2607EUT-T MAX2608EUT-T MAX2609EUT-T TOP VIEW IND GND. Maxim Integrated Products 1

PART MAX2605EUT-T MAX2606EUT-T MAX2607EUT-T MAX2608EUT-T MAX2609EUT-T TOP VIEW IND GND. Maxim Integrated Products 1 19-1673; Rev 0a; 4/02 EVALUATION KIT MANUAL AVAILABLE 45MHz to 650MHz, Integrated IF General Description The are compact, high-performance intermediate-frequency (IF) voltage-controlled oscillators (VCOs)

More information

OPTIMIZING PERFORMANCE OF THE DCP01B, DVC01 AND DCP02 SERIES OF UNREGULATED DC/DC CONVERTERS.

OPTIMIZING PERFORMANCE OF THE DCP01B, DVC01 AND DCP02 SERIES OF UNREGULATED DC/DC CONVERTERS. Application Report SBVA0A - OCTOBER 00 OPTIMIZING PERFORMANCE OF THE DCP0B, DVC0 AND DCP0 SERIES OF UNREGULATED DC/DC CONVERTERS. By Dave McIlroy The DCP0B, DCV0, and DCP0 are three families of miniature

More information

Taking the Mystery out of Signal Integrity

Taking the Mystery out of Signal Integrity Slide - 1 Jan 2002 Taking the Mystery out of Signal Integrity Dr. Eric Bogatin, CTO, GigaTest Labs Signal Integrity Engineering and Training 134 S. Wolfe Rd Sunnyvale, CA 94086 408-524-2700 www.gigatest.com

More information

INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT

INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT ABSTRACT: This paper describes the design of a high-efficiency energy harvesting

More information

Appendix. RF Transient Simulator. Page 1

Appendix. RF Transient Simulator. Page 1 Appendix RF Transient Simulator Page 1 RF Transient/Convolution Simulation This simulator can be used to solve problems associated with circuit simulation, when the signal and waveforms involved are modulated

More information

1 Digital EE141 Integrated Circuits 2nd Introduction

1 Digital EE141 Integrated Circuits 2nd Introduction Digital Integrated Circuits Introduction 1 What is this lecture about? Introduction to digital integrated circuits + low power circuits Issues in digital design The CMOS inverter Combinational logic structures

More information

PCB layout guidelines. From the IGBT team at IR September 2012

PCB layout guidelines. From the IGBT team at IR September 2012 PCB layout guidelines From the IGBT team at IR September 2012 1 PCB layout and parasitics Parasitics (unwanted L, R, C) have much influence on switching waveforms and losses. The IGBT itself has its own

More information

Chapter 2. Inductor Design for RFIC Applications

Chapter 2. Inductor Design for RFIC Applications Chapter 2 Inductor Design for RFIC Applications 2.1 Introduction A current carrying conductor generates magnetic field and a changing current generates changing magnetic field. According to Faraday s laws

More information

Understanding, measuring, and reducing output noise in DC/DC switching regulators

Understanding, measuring, and reducing output noise in DC/DC switching regulators Understanding, measuring, and reducing output noise in DC/DC switching regulators Practical tips for output noise reduction Katelyn Wiggenhorn, Applications Engineer, Buck Switching Regulators Robert Blattner,

More information

AC Circuits INTRODUCTION DISCUSSION OF PRINCIPLES. Resistance in an AC Circuit

AC Circuits INTRODUCTION DISCUSSION OF PRINCIPLES. Resistance in an AC Circuit AC Circuits INTRODUCTION The study of alternating current 1 (AC) in physics is very important as it has practical applications in our daily lives. As the name implies, the current and voltage change directions

More information

The amout, type and position definition and optimization of decoupling capacitors which depend on the resonance hot spot position,

The amout, type and position definition and optimization of decoupling capacitors which depend on the resonance hot spot position, Resonance Simulation in PI Design Xiao Dan Nokia Abstract PCB power-ground resonance theory is introduced and relationship between Z simulated parameter and resonance frequency is discussed based on the

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

Unleash SiC MOSFETs Extract the Best Performance

Unleash SiC MOSFETs Extract the Best Performance Unleash SiC MOSFETs Extract the Best Performance Xuning Zhang, Gin Sheh, Levi Gant and Sujit Banerjee Monolith Semiconductor Inc. 1 Outline SiC devices performance advantages Accurate test & measurement

More information