The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications.

Size: px
Start display at page:

Download "The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications."

Transcription

1 The Design Challenge to Integrate High Performance Organic Packaging into High End ASIC Strategic Space Based Applications May 8, 2007

2 Abstract: The challenge to integrate high-end, build-up organic packaging like CoreEZ into strategic space based applications will push the substrate fabrication and design into an area that offers performance - electrical, thermal and reliability that is second to none. Designing a system with dozens of ASICs and challenging the substrate design and fabrication team to produce packages that meet the needs of systems electrical requirements while offering robust performance is a large task. In addition, requiring the design team to integrate common features among a plethora of common applications is a challenge that is formidable yet not without possibilities that the Endicott Interconnect first level CoreEZ packaging technology is capable of producing. The Endicott Interconnect legacy of drilling 50 micron through vias at an average rate of over 200,000 vias per panel is a testament to the robustness and reliability of the technology here in Endicott. Applications with this technology have included not only high end 24/7/365 applications in a range of high- end demanding server customers, but have also included customers as demanding as the Department of Defense. Many of these DoD applications have included a set of design parameters that most ceramic applications have been able to achieve only with increased layer count, greater weight, front end NRE s and second level interconnect reliability that is often challenged through larger packages using ceramic column grid arrays (CCGA). Many of these high end System-in-Package (SiP) applications contain a central processing ASIC surrounded by high speed memory sometime utilizing a combination of both flip chip and wire bond devices on the same design. The capability for CoreEZ to offer high performance packaging, where signals are maintained in a full strip-line environment, a low profile substrate cross section, as well as the capability to drill 50 micron through vias on a sub 200 micron pitch within the core will allow the power distribution needs and the thermal dissipation requirements of the ASIC to be met per the system specifications. At the same time, the system engineer will benefit from reduced weight and a smaller overall footprint for the entire Si80P assembly.

3 Application Drivers for Semiconductor Package Selection ƒwireability ƒelectrical Performance ƒpower ƒreliability ƒprice 9

4 Why use buildup? What is CoreEZ? It's a THIN CORE semiconductor substrate with ULTRA FINE CORE VIA PITCH Thin core provides: Outstanding signal performance, near transparent package performance Outstanding wireability clean eye s at 10Gb/s Outstanding power and ground distribution performance Lower noise Additional vias for power and ground connections Very dense core via pitch allows 2X wiring OR reduced layer count Materials compatible with Strategic based application needs

5 Typical Thin Core 10 layer Cross Section - CoreEZ Gnd / Top S1 Pwr / Gnd S2 Pwr / Gnd Pwr / Gnd S3 Pwr / Gnd S4 Gnd / Bot Solder mask PSR µ thick Copper-filled stacked micro-via Substrate Thickness 0.55 mm Solder mask PSR µm thick Build up layer 1 Build up layer 2 Build up layer 3 DriClad, 35/50 µm thick Outer Core dielectric DriClad, 35/50 µm thick Inner Core 100/150µm thick Core Cu 12 µm thick Build up Cu 1 Build up Cu 2 Build up Cu 3 12 µm thick

6 Substrate Product Options Availability Single chip or System In Package With or without stiffener (Cu or SS) Description = 2 full stripline signal planes = 4 dual stripline signal planes = 4 full stripline signal planes Stacked Buildup vias 50 & 35 micron BU thickness Core 2 layer 50 micron core Layers: Up to 12 (4-4-4, 4 full stripline signal) Lead free compatible Ultimate in design flexibility

7 CoreEZ Reliability Test Vehicle Description 42.5mm body size 14.7mm die size High melt flip chip bump 225µm die pad pitch, internal wiring supports 150µm die pad pitch 8 layer (2-4-2) cross section EIT has successfully reached 5000 cycles of o C accelerated thermal cycling (component assembled to PWB) No die cracking, no BGA or bump fatigue Component level testing -55 to +125 o C has successfully reached 1000 cycles Customer Quals standard SCM and SIP application qualifications underway

8 Evaluation of Materials Subjected to Radiation Levels Evaluated PTFE and CoreEZ materials radiation response Radiation Exposure: Co60 Gamma: Control & various levels krad TID PTFE Substrates Materials Considered: Rogers 2800, Asahi APPE, Pyralux FR Results: Many applications will be unaffected by radiation APPE & Pyralux have no measurable degradation to 5 Mrad R2800 shows gradual loss of ductility with exposure CoreEZ Materials Considered: Thermount, Driclad, PSR4000 Results: No measurable change of mechanical properties through 5 Mrad Update: Refined application estimate for HyperBGA usage Considered 11mm die size, no lid, usage temp delta 40C, on thick card 18ppm/C Used model / test bridge from previous low-ductility qualification testing Projection: After 500krad exposure, expect 17,500 cycles to N50 failure

9 Radiation Tolerance Summary Percent Ductility vs TID exposure All CoreEZ materials tested beyond Strategic level radiation tolerance HyperBGA- PTFE material good thru Tactical level tolerance Rad Rad Strategic TolerantHard 300 1Mrad 100krad krad Beyond 5M CoreEZ PSR mask CoreEZ Driclad buildup CoreEZ Tmount core Hyper Pylux adhes Hyper APPE outer Hyper PTFE inner

10 Electrical Design Considerations

11 High Speed SERDES in CoreEZ Layup Representative Differential Pair Routed on S4 (not to scale) Layer Top S1 S2 Power Power S3 S4 Bot Typical Function Pads / Voltage / Gnd Stripline Signal Voltage / Gnd Voltage / Gnd Voltage / Gnd Voltage / Gnd Stripline Signal Pads / Voltage / Gnd

12 High Speed SERDES in CoreEZ Differential Pair Design Representative Differential Pair Routed on S4 Feature Z0 differential mode Pair Length Die pad pitch Die pad diameter Power Plane Thru-hole Signal dog bone length / width Signal line Width / Space Signal die pad uvia Signal BGA pad uvia BGA pad pitch BGA pad diameter Value 100 ohms 18.5 mm 190 µm 150 µm 162 µm 75 / 90 µm 34 / 80 µm 100 µm 150 µm 1.27 mm 800 µm

13 High Speed SERDES in CoreEZ Differential Mode S-Parameter Extraction Ansoft HFSS TM Model Extracted from Cadence Allegro TM with AnsoftLinks TM Die Pad Port Launch Details BGA Port Launch Details

14 High Speed SERDES: CoreEZ 12.5 Gbps Eye Diagram Simulation 300 mv 55 ps Zoom for jitter

15 High Speed SERDES in CoreEZ 12.5 Gbps Eye Diagram Simulation jitter ~2.2 ps

16 CoreEZ 4-4-4, 35µm Dielectric and Stacked Via Additional layers result in minimal degradation of insertion loss Dogbones provide only a slight disadvantage over stacked vias, so both features are acceptable for performance goals Additional design optimizations will further enhance high speed SERDES performance

17 Recommended Package Design Considerations Maintain regular dialogue with ASIC design team Utilize a reasonable ( µm) flip chip pitch at the silicon Align common flip chip pads in rows or columns Allow package designers to assign module I/O Maintain JEDEC form factors Utilize a 1.00 mm or 1.27 mm BGA pitch For intensive high speed SERDES applications, spread them evenly around the ASIC Maintain nominal impedance values at ohms for SE and 100 ohms diff pair Establish module power and/or ground BGA s directly beneath the silicon Give up front consideration to decoupling capacitor quantity and location

18 Alignment of Common Flip Chip pads High Performance Footprint 14

19 CoreEZ vs Ceramic Via Inductance Comparison on a 40 mm MCM

20 Layup Assumptions CoreEZ Via Assumption Via Diameter: 50 um Via Pitch: 200 um Solid Copper BGA Pitch 1.27 mm Note: Via Pitch applies to via-via spacing with multiple vias per BGA HiCTE Via Assumption Via Diameter: um Via Pitch: 250 um Solid Copper BGA Pitch 1.27 mm

21 y CoreEZ vs Ceramic Via Inductance Comparison 40 mm MCM Via Spacing Assumptions y y Via BGA x x x y 1 Via / BGA y 3 Vias / BGA 5 Vias / BGA x x (drawings not to scale) 2 Vias / BGA 4 Vias / BGA

22 CoreEZ vs Ceramic Via Inductance Comparison 40 mm MCM l3d* 3D Partial Inductance Calculator Loop Inductance from VSS BGA up to VSS plane, VDD18 plane down to VDD18 BGA No plane inductance included VSS Plane VDD18 Plane L-loop (VSS-VDD18) VSS BGA VDD18 BGA

23 CoreEZ vs Ceramic Via Inductance Comparison Conclusion =>> Only One Via per BGA is required in CoreEZ

24 Selection Methodology ƒchip Footprint and Wireability If chip is I/O bound, evaluate wiring Chip size for each package can be determined Package $ vs chip $ tradeoff can be determined ƒelectrical & Thermal Requirements ƒcompare to Package Capabilities ƒreliability Requirements ƒreview Application Use Conditions ƒtemperature Excursions ƒon-off Cycles 21

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction

Manufacture and Performance of a Z-interconnect HDI Circuit Card Abstract Introduction Manufacture and Performance of a Z-interconnect HDI Circuit Card Michael Rowlands, Rabindra Das, John Lauffer, Voya Markovich EI (Endicott Interconnect Technologies) 1093 Clark Street, Endicott, NY 13760

More information

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group Title: Package Model Proposal Source: Nanju Na (nananju@us.ibm.com) Jean Audet (jaudet@ca.ibm.com), David R Stauffer (dstauffe@us.ibm.com) Date: Dec 27 IBM Systems and Technology Group Abstract: New package

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

Silicon Interposers enable high performance capacitors

Silicon Interposers enable high performance capacitors Interposers between ICs and package substrates that contain thin film capacitors have been used previously in order to improve circuit performance. However, with the interconnect inductance due to wire

More information

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Presented by Chad Smutzer Mayo Clinic Special Purpose Processor Development

More information

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative

POSSUM TM Die Design as a Low Cost 3D Packaging Alternative POSSUM TM Die Design as a Low Cost 3D Packaging Alternative The trend toward 3D system integration in a small form factor has accelerated even more with the introduction of smartphones and tablets. Integration

More information

Chapter 11 Testing, Assembly, and Packaging

Chapter 11 Testing, Assembly, and Packaging Chapter 11 Testing, Assembly, and Packaging Professor Paul K. Chu Testing The finished wafer is put on a holder and aligned for testing under a microscope Each chip on the wafer is inspected by a multiple-point

More information

Overcoming the Challenges of HDI Design

Overcoming the Challenges of HDI Design ALTIUMLIVE 2018: Overcoming the Challenges of HDI Design Susy Webb Design Science Sr PCB Designer San Diego Oct, 2018 1 Challenges HDI Challenges Building the uvia structures The cost of HDI (types) boards

More information

Application Note 5026

Application Note 5026 Surface Laminar Circuit (SLC) Ball Grid Array (BGA) Eutectic Surface Mount Assembly Application Note 5026 Introduction This document outlines the design and assembly guidelines for surface laminar circuitry

More information

Measurement Results for a High Throughput MCM

Measurement Results for a High Throughput MCM Measurement Results for a High Throughput MCM Funding: Paul Franzon Toby Schaffer, Alan Glaser, Steve Lipa North Carolina State University paulf@ncsu.edu www.ece.ncsu.edu/erl Outline > Heterogeneous System

More information

PI3DPX1207B Layout Guideline. Table of Contents. 1 Layout Design Guideline Power and GROUND High-speed Signal Routing...

PI3DPX1207B Layout Guideline. Table of Contents. 1 Layout Design Guideline Power and GROUND High-speed Signal Routing... PI3DPX1207B Layout Guideline Table of Contents 1 Layout Design Guideline... 2 1.1 Power and GROUND... 2 1.2 High-speed Signal Routing... 3 2 PI3DPX1207B EVB layout... 8 3 Related Reference... 8 Page 1

More information

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications

Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Modeling, Design, and Demonstration of 2.5D Glass Interposers for 16-Channel 28 Gbps Signaling Applications Brett Sawyer, Bruce C. Chou, Saumya Gandhi, Jack Mateosky, Venky Sundaram, and Rao Tummala 3D

More information

High Frequency Single & Multi-chip Modules based on LCP Substrates

High Frequency Single & Multi-chip Modules based on LCP Substrates High Frequency Single & Multi-chip Modules based on Substrates Overview Labtech Microwave has produced modules for MMIC s (microwave monolithic integrated circuits) based on (liquid crystal polymer) substrates

More information

Model 2425B50-50C Rev. A

Model 2425B50-50C Rev. A rit Model 2425B50-50C Xinger Balun 50Ω to 100Ω Balanced Description The 2425B50-50C is a low profile sub-miniature balanced to unbalanced transformer designed for differential inputs and output locations

More information

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications 3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications Darryl Kostka, CST of America Taigon Song and Sung Kyu Lim, Georgia Institute of Technology Outline Introduction TSV Array

More information

NextGIn( Connec&on'to'the'Next'Level' Application note // DRAFT Fan-out 0,50mm stapitch BGA using VeCS. Joan Tourné NextGIn Technology BV

NextGIn( Connec&on'to'the'Next'Level' Application note // DRAFT Fan-out 0,50mm stapitch BGA using VeCS. Joan Tourné NextGIn Technology BV NextGIn( Connec&on'to'the'Next'Level' Application note // DRAFT Fan-out 0,50mm stapitch BGA using VeCS. Joan Tourné NextGIn Technology BV February 27 th 2017 In this document we describe the use of VeCS

More information

Advanced High-Density Interconnection Technology

Advanced High-Density Interconnection Technology Advanced High-Density Interconnection Technology Osamu Nakao 1 This report introduces Fujikura s all-polyimide IVH (interstitial Via Hole)-multi-layer circuit boards and device-embedding technology. Employing

More information

Low-Cost PCB Design 1

Low-Cost PCB Design 1 Low-Cost PCB Design 1 PCB design parameters Defining PCB design parameters begins with understanding: End product features, uses, environment, and lifetime goals PCB performance, manufacturing, and yield

More information

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller

Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller Fan-Out Solutions: Today, Tomorrow the Future Ron Huemoeller Corporate Vice President, WW RnD & Technology Strategy 1 In the Beginning ewlb 2 Fan Out Packaging Emerges Introduction of Fan Out (ewlb) Marketed

More information

FPGA World Conference Stockholm 08 September John Steinar Johnsen -Josse- Senior Technical Advisor

FPGA World Conference Stockholm 08 September John Steinar Johnsen -Josse- Senior Technical Advisor FPGA World Conference Stockholm 08 September 2015 John Steinar Johnsen -Josse- Senior Technical Advisor Agenda FPGA World Conference Stockholm 08 September 2015 - IPC 4101C Materials - Routing out from

More information

Thermal Cycling and Fatigue

Thermal Cycling and Fatigue Thermal Cycling and Fatigue Gil Sharon Introduction The majority of electronic failures are thermo-mechanically related by thermally induced stresses and strains. The excessive difference in coefficients

More information

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation

MICROELECTRONICS ASSSEMBLY TECHNOLOGIES. The QFN Platform as a Chip Packaging Foundation West Coast Luncheon January 15, 2014. PROMEX PROMEX INDUSTRIES INC. MICROELECTRONICS ASSSEMBLY TECHNOLOGIES The QFN Platform as a Chip Packaging Foundation 3075 Oakmead Village Drive Santa Clara CA Ɩ 95051

More information

!"#$"%&' ()#*+,-+.&/0(

!#$%&' ()#*+,-+.&/0( !"#$"%&' ()#*+,-+.&/0( Multi Chip Modules (MCM) or Multi chip packaging Industry s first MCM from IBM. Generally MCMs are horizontal or two-dimensional modules. Defined as a single unit containing two

More information

METRIC PITCH BGA AND MICRO BGA ROUTING SOLUTIONS

METRIC PITCH BGA AND MICRO BGA ROUTING SOLUTIONS White Paper METRIC PITCH BGA AND MICRO BGA ROUTING SOLUTIONS June 2010 ABSTRACT The following paper provides Via Fanout and Trace Routing solutions for various metric pitch Ball Grid Array Packages. Note:

More information

Case Study Package Design & SI/PI analysis

Case Study Package Design & SI/PI analysis Caliber Interconnect Solutions Design for perfection Case Study Package Design & SI/PI analysis Caliber Interconnect Solutions (Pvt) Ltd No 6,1 st Street Gandhi Nagar, Kavundampalayam, Coimbatore-30. Tamil

More information

Enabling concepts: Packaging Technologies

Enabling concepts: Packaging Technologies Enabling concepts: Packaging Technologies Ana Collado / Liam Murphy ESA / TEC-EDC 01/10/2018 ESA UNCLASSIFIED - For Official Use Enabling concepts: Packaging Technologies Drivers for the future: Higher

More information

Sectional Design Standard for High Density Interconnect (HDI) Printed Boards

Sectional Design Standard for High Density Interconnect (HDI) Printed Boards IPC-2226 ASSOCIATION CONNECTING ELECTRONICS INDUSTRIES Sectional Design Standard for High Density Interconnect (HDI) Printed Boards Developed by the HDI Design Subcommittee (D-41) of the HDI Committee

More information

Chapter 2. Literature Review

Chapter 2. Literature Review Chapter 2 Literature Review 2.1 Development of Electronic Packaging Electronic Packaging is to assemble an integrated circuit device with specific function and to connect with other electronic devices.

More information

Flip-Chip for MM-Wave and Broadband Packaging

Flip-Chip for MM-Wave and Broadband Packaging 1 Flip-Chip for MM-Wave and Broadband Packaging Wolfgang Heinrich Ferdinand-Braun-Institut für Höchstfrequenztechnik (FBH) Berlin / Germany with contributions by F. J. Schmückle Motivation Growing markets

More information

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Peter De Dobbelaere Luxtera Inc. 09/19/2016 Luxtera Proprietary www.luxtera.com Luxtera Company Introduction $100B+ Shift

More information

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING

EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING EMERGING SUBSTRATE TECHNOLOGIES FOR PACKAGING Henry H. Utsunomiya Interconnection Technologies, Inc. Suwa City, Nagano Prefecture, Japan henryutsunomiya@mac.com ABSTRACT This presentation will outline

More information

PAGE 2 OF 20 HUTCHINSON EXHIBIT 1010 Question #1 Can current interconnect technology support the push towards 3Gb/s internal data rates? Answer #1 YES

PAGE 2 OF 20 HUTCHINSON EXHIBIT 1010 Question #1 Can current interconnect technology support the push towards 3Gb/s internal data rates? Answer #1 YES PAGE 1 OF 20 HUTCHINSON EXHIBIT 1010 Exploring Low Loss Suspension Interconnects for High Data Rates in Hard Disk Drives Sept. 20, 2007 Hutchinson Technology Inc. Michael Roen, Reed Hentges, John Pro,

More information

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February Semicustom Products UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February 2018 www.cobham.com/hirel The most important thing we build is trust FEATURES Up to 50,000,000 2-input NAND equivalent

More information

Laminate Based Fan-Out Embedded Die Technologies: The Other Option

Laminate Based Fan-Out Embedded Die Technologies: The Other Option Laminate Based Fan-Out Embedded Die Technologies: The Other Option Theodore (Ted) G. Tessier, Tanja Karila*, Tuomas Waris*, Mark Dhaenens and David Clark FlipChip International, LLC 3701 E University Drive

More information

OB-FPC: FLEXIBLE PRINTED CIRCUITS FOR THE ALICE TRACKER

OB-FPC: FLEXIBLE PRINTED CIRCUITS FOR THE ALICE TRACKER OB-FPC: FLEXIBLE PRINTED CIRCUITS FOR THE ALICE TRACKER Main Requirements. The OB FPC must meet demanding requirements: Material: Low material budget Electrical: impedance of differential lines @ 100W,

More information

Exploring Low Loss Suspension Interconnects for High Data Rates in Hard Disk Drives

Exploring Low Loss Suspension Interconnects for High Data Rates in Hard Disk Drives Exploring Low Loss Suspension Interconnects for High Data Rates in Hard Disk Drives Oct. 26, 2007 Presented by Shawn Henze for IDEMA s October 2007 Symposium Hutchinson Technology Inc. Michael Roen, Reed

More information

Endoscopic Inspection of Area Array Packages

Endoscopic Inspection of Area Array Packages Endoscopic Inspection of Area Array Packages Meeting Miniaturization Requirements For Defect Detection BY MARCO KAEMPFERT Area array packages such as the family of ball grid array (BGA) components plastic

More information

High efficient heat dissipation on printed circuit boards

High efficient heat dissipation on printed circuit boards High efficient heat dissipation on printed circuit boards Figure 1: Heat flux in a PCB Markus Wille Schoeller Electronics Systems GmbH www.schoeller-electronics.com Abstract This paper describes various

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

High Efficient Heat Dissipation on Printed Circuit Boards. Markus Wille, R&D Manager, Schoeller Electronics Systems GmbH

High Efficient Heat Dissipation on Printed Circuit Boards. Markus Wille, R&D Manager, Schoeller Electronics Systems GmbH High Efficient Heat Dissipation on Printed Circuit Boards Markus Wille, R&D Manager, Schoeller Electronics Systems GmbH m.wille@se-pcb.de Introduction 2 Heat Flux: Q x y Q z The substrate (insulation)

More information

AltiumLive 2017: 8 NEW DESIGN FEATURES OF HIGH DENSITY PWBS

AltiumLive 2017: 8 NEW DESIGN FEATURES OF HIGH DENSITY PWBS AltiumLive 2017: 8 NEW DESIGN FEATURES OF HIGH DENSITY PWBS Happy Holden PCB Technologist San Diego, October 4 th 2017 Agenda What HDI Design Features Gain The Most 1 Where to place the blind vias 2 3

More information

Webinar: Suppressing BGAs and/or multiple DC rails Keith Armstrong. 1of 5

Webinar: Suppressing BGAs and/or multiple DC rails Keith Armstrong. 1of 5 1of 5 Suppressing ICs with BGA packages and multiple DC rails Some Intel Core i5 BGA packages CEng, EurIng, FIET, Senior MIEEE, ACGI Presenter Contact Info email: keith.armstrong@cherryclough.com website:

More information

ATTRIBUTES STANDARD ADVANCED

ATTRIBUTES STANDARD ADVANCED TECHNOLOGY MATRIX 2017 ATTRIBUTES STANDARD ADVANCED Line/Space.005 /.005.003 /.003 Copper Foil. Oz. Min/Max ½ / 2 3 / 8 Pad Size Int. (dia over Drill).014.008 Pad Size Ext. (dia over Drill).012.008 Drill-to-Metal

More information

PCB Routing Guidelines for Signal Integrity and Power Integrity

PCB Routing Guidelines for Signal Integrity and Power Integrity PCB Routing Guidelines for Signal Integrity and Power Integrity Presentation by Chris Heard Orange County chapter meeting November 18, 2015 1 Agenda Insertion Loss 101 PCB Design Guidelines For SI Simulation

More information

CHX2090-QDG RoHS COMPLIANT

CHX2090-QDG RoHS COMPLIANT RoHS COMPLIANT Description GaAs Monolithic Microwave IC in SMD leadless package The CHX2090-QDG is a cascadable frequency doubler monolithic circuit, which integrate an output buffer amplifier that produces

More information

Model BD3238N5050AHF. Ultra Low Profile 0404 Balun

Model BD3238N5050AHF. Ultra Low Profile 0404 Balun Model BD338N55AHF Ultra Low Profile 44 Balun 5Ω to 5Ω Balanced Description The BD338N55AHF is a low cost, low profile sub-miniature unbalanced to balanced transformer designed for differential inputs and

More information

MICTOR. High-Speed Stacking Connector

MICTOR. High-Speed Stacking Connector MICTOR High-Speed Stacking Connector Electrical Performance Report for the 0.260" (6.6-mm) Stack Height Connector.......... Connector With Typical Footprint................... Connector in a System Report

More information

CHAPTER 11: Testing, Assembly, and Packaging

CHAPTER 11: Testing, Assembly, and Packaging Chapter 11 1 CHAPTER 11: Testing, Assembly, and Packaging The previous chapters focus on the fabrication of devices in silicon or the frontend technology. Hundreds of chips can be built on a single wafer,

More information

Hardware Design Considerations for MKW41Z/31Z/21Z BLE and IEEE Device

Hardware Design Considerations for MKW41Z/31Z/21Z BLE and IEEE Device NXP Semiconductors Document Number: AN5377 Application Note Rev. 2, Hardware Design Considerations for MKW41Z/31Z/21Z BLE and IEEE 802.15.4 Device 1. Introduction This application note describes Printed

More information

High Performance Package Trends Driving BackDrill File Generation Using Cadence Allegro. Chris Heard and Leigh Eichel

High Performance Package Trends Driving BackDrill File Generation Using Cadence Allegro. Chris Heard and Leigh Eichel High Performance Package Trends Driving BackDrill File Generation Using Cadence Allegro By Chris Heard and Leigh Eichel 1. Introduction As the semiconductor industry passes the 100 billion unit mark for

More information

License to Speed: Extreme Bandwidth Packaging

License to Speed: Extreme Bandwidth Packaging License to Speed: Extreme Bandwidth Packaging Sean S. Cahill VP, Technology BridgeWave Communications Santa Clara, California, USA BridgeWave Communications Specializing in 60-90 GHz Providing a wireless

More information

BOARD DESIGN, SURFACE MOUNT ASSEMBLY AND BOARD LEVEL RELIABILITY ASPECTS OF FUSIONQUAD TM PACKAGES

BOARD DESIGN, SURFACE MOUNT ASSEMBLY AND BOARD LEVEL RELIABILITY ASPECTS OF FUSIONQUAD TM PACKAGES BOARD DESIGN, SURFACE MOUNT ASSEMBLY AND BOARD LEVEL RELIABILITY ASPECTS OF FUSIONQUAD TM PACKAGES Ahmer Syed 1, Sundar Sethuraman 2, WonJoon Kang 1, Gary Hamming 1, YeonHo Choi 1 1 Amkor Technology, Inc.

More information

Flip-Chip PBGA Package ConstructionÑ Assembly and Board-Level Reliability

Flip-Chip PBGA Package ConstructionÑ Assembly and Board-Level Reliability Order Number: AN1850/D Rev. 0, 5/2000 Application Note Flip-Chip PBGA Package ConstructionÑ Assembly and Motorola introduced the ßip-chip plastic ball grid array (FC PBGA) packages as an alternative to,

More information

BGA (Ball Grid Array)

BGA (Ball Grid Array) BGA (Ball Grid Array) National Semiconductor Application Note 1126 November 2002 Table of Contents Introduction... 2 Package Overview... 3 PBGA (PLASTIC BGA) CONSTRUCTION... 3 TE-PBGA (THERMALLY ENHANCED

More information

TECHNICAL REPORT: CVEL Parasitic Inductance Cancellation for Filtering to Chassis Ground Using Surface Mount Capacitors

TECHNICAL REPORT: CVEL Parasitic Inductance Cancellation for Filtering to Chassis Ground Using Surface Mount Capacitors TECHNICAL REPORT: CVEL-14-059 Parasitic Inductance Cancellation for Filtering to Chassis Ground Using Surface Mount Capacitors Andrew J. McDowell and Dr. Todd H. Hubing Clemson University April 30, 2014

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec20 cwliu@twins.ee.nctu.edu.tw

More information

The Future of Packaging ~ Advanced System Integration

The Future of Packaging ~ Advanced System Integration The Future of Packaging ~ Advanced System Integration Enabling a Microelectronic World R. Huemoeller SVP, Adv. Product / Platform Develop June 2013 Product Segments End Market % Share Summary 2 New Product

More information

Return loss (db) Insertion loss (db) .56±.06 TBD. GND / DC Feed 1 + RF GND 2. Unbalanced Port Balanced Port Balanced Port.

Return loss (db) Insertion loss (db) .56±.06 TBD. GND / DC Feed 1 + RF GND 2. Unbalanced Port Balanced Port Balanced Port. Model BD6N5AHF Ultra Low Profile 44 Balun 5Ω to Ω Balanced Description The BD6N5AHF is a low cost, low profile sub-miniature unbalanced to balanced transformer designed for differential inputs and output

More information

Design of the Power Delivery System for Next Generation Gigahertz Packages

Design of the Power Delivery System for Next Generation Gigahertz Packages Design of the Power Delivery System for Next Generation Gigahertz Packages Madhavan Swaminathan Professor School of Electrical and Computer Engg. Packaging Research Center madhavan.swaminathan@ece.gatech.edu

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity Slide -1 Ten Habits of Highly Successful Board Designers or Design for Speed: A Designer s Survival Guide to Signal Integrity with Dr. Eric Bogatin, Signal Integrity Evangelist, Bogatin Enterprises, www.bethesignal.com

More information

TOLERANCE FORGOTTEN: IMPACTS OF TODAY S COMPONENT PACKAGING AND COPPER ROUTING ON ELECTRONIC

TOLERANCE FORGOTTEN: IMPACTS OF TODAY S COMPONENT PACKAGING AND COPPER ROUTING ON ELECTRONIC TOLERANCE FORGOTTEN: IMPACTS OF TODAY S COMPONENT PACKAGING AND COPPER ROUTING ON ELECTRONIC Presented By: Dale Lee E-mail: Dale.Lee@Plexus.Com April 2013 High Layer Counts Wide Range Of Component Package

More information

An Introduction to Electronics Systems Packaging. Prof. G. V. Mahesh. Department of Electronic Systems Engineering

An Introduction to Electronics Systems Packaging. Prof. G. V. Mahesh. Department of Electronic Systems Engineering An Introduction to Electronics Systems Packaging Prof. G. V. Mahesh Department of Electronic Systems Engineering India Institute of Science, Bangalore Module No. # 02 Lecture No. # 08 Wafer Packaging Packaging

More information

Analysis signal transitions characteristics of BGA-via multi-chip module Baolin Zhou1,a, Dejian Zhou1,b

Analysis signal transitions characteristics of BGA-via multi-chip module Baolin Zhou1,a, Dejian Zhou1,b 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Analysis signal transitions characteristics of BGA-via multi-chip module Baolin Zhou1,a, Dejian Zhou1,b 1 Electromechanical

More information

Fiber Optics for Harsh Environments ICSO Chuck Tabbert

Fiber Optics for Harsh Environments ICSO Chuck Tabbert Fiber Optics for Harsh Environments ICSO 2016 Chuck Tabbert VP Sales & Marketing Ultra Communications (505) 823-1293 ctabbert@ultracomm-inc.com www.ultracomm-inc.com If anyone would like copy of briefing

More information

Model BD1722J50100A00

Model BD1722J50100A00 Ultra Low Profile 85 Balun 5Ω to 1Ω Balanced Description The BD1722J51A is a low profile sub-miniature balanced to unbalanced transformer designed for differential inputs and output locations on next generation

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

Diverse Lasers Support Key Microelectronic Packaging Tasks

Diverse Lasers Support Key Microelectronic Packaging Tasks Diverse Lasers Support Key Microelectronic Packaging Tasks Written by D Muller, R Patzel, G Oulundsen, H Halou, E Rea 23 July 2018 To support more sophisticated and compact tablets, phones, watches and

More information

PWB Solutions for High Speed Systems

PWB Solutions for High Speed Systems PWB Solutions for High Speed Systems Benson Chan, John Lauffer, Steve Rosser, Jim Stack Endicott Interconnect Technologies 1701 North Street, Endicott NY 13760 bchan@eitny.com Abstract The authors of this

More information

2.5D Platform (Examples of products produced to date are shown here to demonstrate Amkor's production capabilities)

2.5D Platform (Examples of products produced to date are shown here to demonstrate Amkor's production capabilities) Wafer Finishing & Flip Chip Stacking interconnects have emerged to serve a wide range of 2.5D- & 3D- packaging applications and architectures that demand very high performance and functionality at the

More information

SIGNAL INTEGRITY ANALYSIS AND MODELING

SIGNAL INTEGRITY ANALYSIS AND MODELING 1.00mm Pitch BGA Socket Adapter System SIGNAL INTEGRITY ANALYSIS AND MODELING Rev. 2 www.advanced.com Signal Integrity Data Reporting At Advanced Interconnections Corporation, our Signal Integrity reporting

More information

AN 766: Intel Stratix 10 Devices, High Speed Signal Interface Layout Design Guideline

AN 766: Intel Stratix 10 Devices, High Speed Signal Interface Layout Design Guideline AN 766: Intel Stratix 10 Devices, High Speed Signal Interface Layout Subscribe Latest document on the web: PDF HTML Contents Contents Intel Stratix 10 Devices, High Speed Signal Interface Layout... 3 Intel

More information

High-Speed Differential Interconnection Design for Flip-Chip BGA Packages

High-Speed Differential Interconnection Design for Flip-Chip BGA Packages High-Speed Differential Interconnection Design for Flip-Chip BGA Packages W.L. Yuan, H.P. Kuah, C.K. Wang, Anthony Y.S. Sun W.H. Zhu, H.B. Tan, and A.D. Muhamad Packaging Analysis and Design Center United

More information

Model BD2425N50200AHF. Ultra Low Profile 0404 Balun 50Ω to 200Ω Balanced

Model BD2425N50200AHF. Ultra Low Profile 0404 Balun 50Ω to 200Ω Balanced Model BD45N5AHF Ultra Low Profile 44 Balun 5Ω to Ω Balanced Description The BD45N5AHF is a low cost, low profile sub-miniature unbalanced to balanced transformer designed for differential inputs and output

More information

Advanced Transmission Lines. Transmission Line 1

Advanced Transmission Lines. Transmission Line 1 Advanced Transmission Lines Transmission Line 1 Transmission Line 2 1. Transmission Line Theory :series resistance per unit length in. :series inductance per unit length in. :shunt conductance per unit

More information

Data Sheet. ACFF-1024 ISM Bandpass Filter ( MHz) Description. Features. Specifications. Functional Block Diagram.

Data Sheet. ACFF-1024 ISM Bandpass Filter ( MHz) Description. Features. Specifications. Functional Block Diagram. ACFF-124 ISM Bandpass Filter (241 2482 MHz) Data Sheet Description The Avago ACFF-124 is a miniaturized Bandpass Filter designed for use in the 2.4 GHz Industrial, Scientific and Medical (ISM) band. The

More information

EE141- Spring 2004 Digital Integrated Circuits

EE141- Spring 2004 Digital Integrated Circuits EE141- Spring 2004 Digital Integrated Circuits Lecture 27 Power distribution Resistive interconnect 1 Administrative Stuff Make-up lecture on Monday 4-5:30pm Special office hours of Prof. Rabaey today

More information

Design For Manufacture

Design For Manufacture NCAB Group Seminar no. 11 Design For Manufacture NCAB GROUP Design For Manufacture Design for manufacture (DFM) What areas does DFM give consideration to? Common errors in the documentation Good design

More information

160-Gb/s Bidirectional Parallel Optical Transceiver Module for Board-Level Interconnects

160-Gb/s Bidirectional Parallel Optical Transceiver Module for Board-Level Interconnects 160-Gb/s Bidirectional Parallel Optical Transceiver Module for Board-Level Interconnects Fuad Doany, Clint Schow, Jeff Kash C. Baks, D. Kuchta, L. Schares, & R. John IBM T. J. Watson Research Center doany@us.ibm.com

More information

ENGAT00000 to ENGAT00010

ENGAT00000 to ENGAT00010 Wideband Fixed Attenuator Family, DIE, DC to 50 GHz ENGAT00000 / 00001 / 00002 / 00003 / 00004 / 00005 / 00006 / 00007 / 00008 / 00009 / 00010 Typical Applications ENGAT00000 to ENGAT00010 Features Space

More information

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations

EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies. Overview of Physical Implementations EECS150 - Digital Design Lecture 15 - CMOS Implementation Technologies Mar 12, 2013 John Wawrzynek Spring 2013 EECS150 - Lec15-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies

EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies EECS150 - Digital Design Lecture 9 - CMOS Implementation Technologies Feb 14, 2012 John Wawrzynek Spring 2012 EECS150 - Lec09-CMOS Page 1 Overview of Physical Implementations Integrated Circuits (ICs)

More information

IMAPS NE 45 A HETEROGENEOUS SIP SOLUTION FOR RF APPLICATIONS

IMAPS NE 45 A HETEROGENEOUS SIP SOLUTION FOR RF APPLICATIONS IMAPS NE 45 A HETEROGENEOUS SIP SOLUTION FOR RF APPLICATIONS May 1st 2018 Justin C. Borski i3 Microsystems Inc. justin.borski@i3microsystems.com A HETEROGENEOUS SIP SOLUTION FOR RF APPLICATIONS Presentation

More information

3D ICs: Recent Advances in the Industry

3D ICs: Recent Advances in the Industry 3D ICs: Recent Advances in the Industry Suresh Ramalingam Senior Director, Advanced Packaging Outline 3D IC Background 3D IC Technology Development Summary Acknowledgements Stacked Silicon Interconnect

More information

DesignCon East Feasibility of 40 to 50 Gbps NRZ Interconnect Design for Terabit Backplanes

DesignCon East Feasibility of 40 to 50 Gbps NRZ Interconnect Design for Terabit Backplanes DesignCon East 2005 Feasibility of 40 to 50 Gbps NRZ Interconnect Design for Terabit Backplanes Roger Weiss, Paricon Technologies Corporation President, RWeiss@paricon-tech.com Scott McMorrow, Teraspeed

More information

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014 Considerations in High-Speed High Performance Die-Package-Board Co-Design Jenny Jiang Altera Packaging Department October 2014 Why Co-Design? Complex Multi-Layer BGA Package Horizontal and vertical design

More information

Chip-Scale Package Fiber Optic Transceiver Integration for Harsh Environments. Chuck Tabbert

Chip-Scale Package Fiber Optic Transceiver Integration for Harsh Environments. Chuck Tabbert Chip-Scale Package Fiber Optic Transceiver Integration for Harsh Environments Chuck Tabbert ctabbert@ultracomm-inc.com (505) 823-1293 Agenda Corporate Overview Motivation Background Technology Wide Temperature

More information

Sherlock Solder Models

Sherlock Solder Models Introduction: Sherlock Solder Models Solder fatigue calculations in Sherlock are accomplished using one of the many solder models available. The different solder models address the type of package that

More information

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 11 Physical Design Issues Interconnect Scaling Effects Dense multilayer metal increases coupling

More information

Caliber Interconnect Solutions

Caliber Interconnect Solutions Caliber Interconnect Solutions Design for perfection CASE STUDY DBFSP card and Optical card Transceivers Channels (through Backplane) Pre-Layout SI Report Caliber Interconnect Solutions (Pvt) Ltd No 6,1

More information

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538

Innovations Push Package-on-Package Into New Markets. Flynn Carson. STATS ChipPAC Inc Kato Rd Fremont, CA 94538 Innovations Push Package-on-Package Into New Markets by Flynn Carson STATS ChipPAC Inc. 47400 Kato Rd Fremont, CA 94538 Copyright 2010. Reprinted from Semiconductor International, April 2010. By choosing

More information

ARCHIVE Automated Topside and Bottomside Testing of POP Packages on a Robotic Handler Eric Pensa, Willie Jerrels Texas Instruments

ARCHIVE Automated Topside and Bottomside Testing of POP Packages on a Robotic Handler Eric Pensa, Willie Jerrels Texas Instruments ARCHIVE 2008 KEY CHALLENGES AND TECHNOLOGY TRENDS IN SOCKET DESIGN Automated Topside and Bottomside Testing of POP Packages on a Robotic Handler Eric Pensa, Willie Jerrels Texas Instruments High Speed

More information

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity

DL-150 The Ten Habits of Highly Successful Designers. or Design for Speed: A Designer s Survival Guide to Signal Integrity Slide -1 Ten Habits of Highly Successful Board Designers or Design for Speed: A Designer s Survival Guide to Signal Integrity with Dr. Eric Bogatin, Signal Integrity Evangelist, Bogatin Enterprises, www.bethesignal.com

More information

How Long is Too Long? A Via Stub Electrical Performance Study

How Long is Too Long? A Via Stub Electrical Performance Study How Long is Too Long? A Via Stub Electrical Performance Study Michael Rowlands, Endicott Interconnect Michael.rowlands@eitny.com, 607.755.5143 Jianzhuang Huang, Endicott Interconnect 1 Abstract As signal

More information

Fraunhofer IZM - ASSID

Fraunhofer IZM - ASSID FRAUNHOFER-INSTITUT FÜR Zuverlässigkeit und Mikrointegration IZM Fraunhofer IZM - ASSID All Silicon System Integration Dresden Heterogeneous 3D Wafer Level System Integration 3D system integration is one

More information

March 5-8, 2017 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive Session 3

March 5-8, 2017 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive Session 3 March 5-8, 2017 Hilton Phoenix / Mesa Hotel Mesa, Arizona Archive Session 3 2017 BiTS Workshop Image: tonda / istock Copyright Notice The presentation(s)/poster(s) in this publication comprise the Proceedings

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

Freescale Semiconductor Data Sheet: Technical Data

Freescale Semiconductor Data Sheet: Technical Data Freescale Semiconductor Data Sheet: Technical Data Media Resistant and High Temperature Accuracy Integrated Silicon Sensor for Measuring Absolute, On-Chip Signal Conditioned, Temperature Compensated and

More information

Custom MMIC Packaging Solutions for High Frequency Thermally Efficient Surface Mount Applications.

Custom MMIC Packaging Solutions for High Frequency Thermally Efficient Surface Mount Applications. Custom MMIC Packaging Solutions for High Frequency Thermally Efficient Surface Mount Applications. Steve Melvin Principal Engineer Teledyne-Labtech 8 Vincent Avenue, Crownhill, Milton Keynes, MK8 AB Tel

More information