EE141-Spring 2007 Digital Integrated Circuits

Size: px
Start display at page:

Download "EE141-Spring 2007 Digital Integrated Circuits"

Transcription

1 EE141-Spring 2007 Digital Integrated Circuits Lecture 22 I/O, Power Distribution dders 1 nnouncements Homework 9 has been posted Due Tu. pr. 24, 5pm Project Phase 4 (Final) Report due Mo. pr. 30, noon Poster presentations Tu. May 1 st, 3-6pm Final Exam Mo. May 14, 5-8pm, 145 McCone 2

2 Class Material Last lecture Timing Clock distribution Today s lecture I/O Power distribution Intro to adders Reading Chapter 11 3 Impact of Interconnect Design Issues 4

3 V ( V o l t ) Impact of Capacitance Capacitive Crosstalk-Dynamic Node V Y CXY = C + C Y XY V X CLK C XY Y In 1 In 2 In 3 PDN C Y X 2.5 V CLK 0 V 3 x 1 µm overlap: 0.19 V disturbance 5 Capacitive Cross Talk Driven Node V X R Y C XY C Y X Y t r τ XY = R Y (C XY +C Y ) t (nsec) 1 Keep time-constant smaller than rise time 6

4 How to attle Capacitive Crosstalk Shielding wire void large crosstalk cap s GND void floating nodes Isolate sensitive nodes V Shielding DD Control rise/fall times -> large layer Do not run wires together on GND long distances Shield! Substrate (GND) Differential signaling 7 Delay Degradation C c - Impact of neighboring signal activity on switching delay - When neighboring lines switch in opposite direction of victim line, delay increases Miller Effect - oth terminals of capacitor are switched in opposite directions (0 V dd, V dd 0) - Effective voltage is doubled and additional charge is needed (from Q=CV) - Wire length = 100 µm in 0.25 µm results in worst-case 80% t p degradation! 8

5 Driving Large Capacitances V in V out C L Transistor Sizing Cascaded uffers 9 Using Cascaded uffers In Out 1 2 N C L = 20 pf 0.25 µm process Cin =2.5fF tp0 = 30 ps F = CL/Cin = 8000 fopt = 3.6 N = 7 tp = 0.76 ns (See Chapter 5) 10

6 t / t 0 p p Output Driver Design Trade off Performance for rea and Energy Given t pmax find N and f rea driver Energy E driver = = N 2 N 1 f 1 F 1 ( 1+ f + f f ) min = min = min f 1 f 1 2 N 1 2 F 1 2 CL 2 ( + f + f f ) C V = C V V 1 i DD i DD DD f 1 f 1 11 Delay as a Function of F and N 10,000 F = 10, t p /t p0 100 F = 1000 F = Number of buffer stages N

7 I/O Design 13 onding Pad Design onding Pad GND 100 µm Out In GND Out 14

8 ESD Protection When a chip is connected to a board, there is unknown (potentially large) static voltage difference Equalizing potentials requires (large) charge flow through the pads Diodes sink this charge into the substrate need guard rings to pick it up. 15 Pads + ESD Protection PD R D1 X D2 C Diode 16

9 Chip Packaging L onding wire L Chip Lead frame Pin Mounting cavity ond wires (~25µm) are used to connect the package to the chip Pads are arranged in a frame around the chip Pads are relatively large (~100µm in 0.25µm technology), with large pitch (100µm) Many chips areas are pad limited 17 Pad Frame Layout Die Photo 18

10 Chip Packaging n alternative is flipchip : Pads are distributed around the chip The soldering balls are placed on pads The chip is flipped onto the package Can have many more pads 19 Power Distribution 20

11 Impact of Resistance We have already learned how to drive RC interconnect Impact of resistance is commonly seen in power supply distribution: IR drop Voltage variations Power supply is distributed to minimize the IR drop and the change in current due to switching of gates 21 RI Introduced Noise I f pre X R - V V M1 I R V 22

12 Resistance and the Power Distribution Problem efore fter Requires fast and accurate peak current prediction Heavily influenced by packaging technology Source: Cadence 23 Power Distribution Low-level distribution is in Metal 1 Power has to be strapped in higher layers of metal. The spacing is set by IR drop, electromigration, inductive effects lways use multiple contacts on straps 24

13 Power and Ground Distribution GND Logic Logic GND (a) Finger-shaped network GND (b) Network with multiple supply pins 25 3 Metal Layer pproach (EV4) 3rd coarse and thick metal layer added to the technology for EV4 design Power supplied from two sides of the die via 3rd metal layer 2nd metal layer used to form power grid 90% of 3rd metal layer used for power/clock routing Metal 3 Metal 2 Metal 1 Courtesy Compaq 26

14 4 Metal Layers pproach (EV5) 4th coarse and thick metal layer added to the technology for EV5 design Power supplied from four sides of the die Grid strapping done all in coarse metal 90% of 3rd and 4th metals used for power/clock routing Metal 4 Metal 3 Metal 2 Metal 1 Courtesy Compaq 27 6 Metal Layer pproach EV6 2 reference plane metal layers added to the technology for EV6 design Solid planes dedicated to Vdd/Vss Significantly lowers resistance of grid Lowers on-chip inductance RP2/Vdd Courtesy Compaq Metal 4 Metal 3 RP1/Vss Metal 2 Metal 1 28

15 Electromigration (1) Limits dc-current to 1 m/µm 29 Electromigration (2) 30

16 Decoupling Capacitors 1 oard wiring onding wire SUPPLY C d CHIP 2 Decoupling capacitor Decoupling capacitors are added: On the board (right under the supply pins) On the chip (under the supply straps, near large buffers) 31 Decoupling Capacitors Under the die 32

17 33 dders 34

18 n Intel Microprocessor 9-1 Mux 5-1 Mux a g64 CRRYGEN node1 ck1 SUMSEL REG sum sumb to Cache 9-1 Mux 2-1 Mux b SUMGEN + LU s0 s1 LU : Logical Unit 1000um Itanium has 6 64-bit integer execution units like this one 35 it-sliced Design Control it 3 Data-In Register dder Shifter Multiplexer it 2 it 1 it 0 Data-Out Tile identical processing elements 36

19 it-sliced Datapath From register files / Cache / ypass Multiplexers Shifter dder stage 1 Loopback us Loopback us Wiring dder stage 2 Wiring Loopback us it slice 63 dder stage 3 Sum Select it slice 2 it slice 1 it slice 0 To register files / Cache 37 Itanium Integer Datapath Fetzer, Orton, ISSCC 02 38

20 Full-dder Cin Full adder Sum Cout 39 The inary dder Cin Full adder Sum Cout S = C i = C i + C i + C i + C i C o = + C i + C i 40

21 Express Sum and Carry as a function of P, G, D Define 3 new variable which ONLY depend on, Generate (G) = Propagate (P) = Delete = Can also derive expressions for S and C o based on D and P Note that we will be sometimes using an alternate definition for Propagate (P) = + 41 The Ripple-Carry dder C i,0 C o,0 C o,1 C o,2 C o,3 F F F F (= C i,1 ) S 0 S 1 S 2 S 3 Worst case delay linear with the number of bits t d = O(N) t adder = (N-1)t carry + t sum Goal: Make the fastest possible carry path circuit 42

22 Complementary Static CMOS Full dder C i C i X C i C i S C i C i C o 28 Transistors 43 Inversion Property C i F C o C i F C o S S 44

23 Minimize Critical Path by Reducing Inverting Stages Even cell Odd cell C i,0 C o,0 C o,1 C o,2 C o,3 F F F F S 0 S 1 S 2 S 3 Exploit Inversion Property 45 etter Structure: The Mirror dder "0"-Propagate C i Kill C o C i C i S "1"-Propagate Generate C i C i 24 transistors 46

24 The Mirror dder The NMOS and PMOS chains are completely symmetrical. maximum of two series transistors can be observed in the carrygeneration circuitry. When laying out the cell, the most critical issue is the minimization of the capacitance at node C o. The reduction of the diffusion capacitances is particularly important. The capacitance at node C o is composed of four diffusion capacitances, two internal gate capacitances, and six gate capacitances in the connecting adder cell. The transistors connected to C i are placed closest to the output. Only the transistors in the carry stage have to be optimized for optimal speed. ll transistors in the sum stage can be minimal size. 47 Next Lecture dders, Multipliers 48

I/O Design EE141. Announcements. EE141-Fall 2006 Digital Integrated Circuits. Class Material. Pads + ESD Protection.

I/O Design EE141. Announcements. EE141-Fall 2006 Digital Integrated Circuits. Class Material. Pads + ESD Protection. EE141-Fall 2006 Digital Integrated Circuits nnouncements Homework 9 due on Thursday Lecture 26 I/O 1 2 Class Material Last lecture Timing Clock distribution Today s lecture I/O Power distribution Intro

More information

EE141- Spring 2004 Digital Integrated Circuits

EE141- Spring 2004 Digital Integrated Circuits EE141- Spring 2004 Digital Integrated Circuits Lecture 27 Power distribution Resistive interconnect 1 Administrative Stuff Make-up lecture on Monday 4-5:30pm Special office hours of Prof. Rabaey today

More information

Lecture 18 SOI Design Power Distribution. Midterm project reports due tomorrow. Please post links on your project web page

Lecture 18 SOI Design Power Distribution. Midterm project reports due tomorrow. Please post links on your project web page EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 18 SOI Design Power Distribution Announcements Midterm project reports due tomorrow Please post links on your project web

More information

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec20 cwliu@twins.ee.nctu.edu.tw

More information

Lecture 13: Interconnects in CMOS Technology

Lecture 13: Interconnects in CMOS Technology Lecture 13: Interconnects in CMOS Technology Mark McDermott Electrical and Computer Engineering The University of Texas at Austin 10/18/18 VLSI-1 Class Notes Introduction Chips are mostly made of wires

More information

L15: VLSI Integration and Performance Transformations

L15: VLSI Integration and Performance Transformations L15: VLSI Integration and Performance Transformations Acknowledgement: Materials in this lecture are courtesy of the following sources and are used with permission. Curt Schurgers J. Rabaey, A. Chandrakasan,

More information

Lecture 14: Datapath Functional Units Adders

Lecture 14: Datapath Functional Units Adders Lecture 14: Datapath Functional Units dders Mark Horowitz omputer Systems Laboratory Stanford University horowitz@stanford.edu MH EE271 Lecture 14 1 Overview Reading W&E 8.2.1 - dders References Hennessy

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

EE115C Winter 2017 Digital Electronic Circuits. Lecture 11: Wires, Elmore Delay

EE115C Winter 2017 Digital Electronic Circuits. Lecture 11: Wires, Elmore Delay EE115C Winter 2017 Digital Electronic Circuits Lecture 11: Wires, Elmore Delay The Wire transmitters receivers schematics physical EE115C Winter 2017 2 Interconnect Impact on Chip EE115C Winter 2017 3

More information

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector Group Members Uttam Kumar Boda Rajesh Tenukuntla Mohammad M Iftakhar Srikanth Yanamanagandla 1 Table

More information

L15: VLSI Integration and Performance Transformations

L15: VLSI Integration and Performance Transformations L15: VLSI Integration and Performance Transformations Average Cost of one transistor Acknowledgement: 10 1 0.1 0.01 0.001 0.0001 0.00001 $ 0.000001 Gordon Moore, Keynote Presentation at ISSCC 2003 0.0000001

More information

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan

Chapter 6 Combinational CMOS Circuit and Logic Design. Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Chapter 6 Combinational CMOS Circuit and Logic Design Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Advanced Reliable Systems (ARES) Lab. Jin-Fu Li,

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R RW 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

Lecture 9: Cell Design Issues

Lecture 9: Cell Design Issues Lecture 9: Cell Design Issues MAH, AEN EE271 Lecture 9 1 Overview Reading W&E 6.3 to 6.3.6 - FPGA, Gate Array, and Std Cell design W&E 5.3 - Cell design Introduction This lecture will look at some of the

More information

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 43. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 43 Digital Circuits Other Logic Styles Dynamic Logic Circuits Review from Last Time Elmore Delay Calculations W M 5 V OUT x 20C RE V IN 0 L R L 1 L R R 6 W 1 C C 3 D R t 1 R R t 2 R R t

More information

EE241 - Spring 2002 Advanced Digital Integrated Circuits

EE241 - Spring 2002 Advanced Digital Integrated Circuits EE241 - Spring 2002 dvanced Digital Integrated Circuits Lecture 7 MOS Logic Styles nnouncements Homework #1 due 2/19 1 Reading Chapter 7 in the text by K. ernstein ackground material from Rabaey References»

More information

Digital Microelectronic Circuits ( ) Pass Transistor Logic. Lecture 9: Presented by: Adam Teman

Digital Microelectronic Circuits ( ) Pass Transistor Logic. Lecture 9: Presented by: Adam Teman Digital Microelectronic Circuits (361-1-3021 ) Presented by: Adam Teman Lecture 9: Pass Transistor Logic 1 Motivation In the previous lectures, we learned about Standard CMOS Digital Logic design. CMOS

More information

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design

Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design Harris Introduction to CMOS VLSI Design (E158) Lecture 9: Cell Design David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture

More information

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis Microcontroller Systems ELET 3232 Topic 13: Load Analysis 1 Objective To understand hardware constraints on embedded systems Define: Noise Margins Load Currents and Fanout Capacitive Loads Transmission

More information

Design Challenges in Multi-GHz Microprocessors

Design Challenges in Multi-GHz Microprocessors Design Challenges in Multi-GHz Microprocessors Bill Herrick Director, Alpha Microprocessor Development www.compaq.com Introduction Moore s Law ( Law (the trend that the demand for IC functions and the

More information

Interconnect. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Interconnect. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. Interconnect Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Introduction Chips are mostly made of wires called

More information

ISSCC 2003 / SESSION 6 / LOW-POWER DIGITAL TECHNIQUES / PAPER 6.2

ISSCC 2003 / SESSION 6 / LOW-POWER DIGITAL TECHNIQUES / PAPER 6.2 ISSCC 2003 / SESSION 6 / OW-POWER DIGITA TECHNIQUES / PAPER 6.2 6.2 A Shared-Well Dual-Supply-Voltage 64-bit AU Yasuhisa Shimazaki 1, Radu Zlatanovici 2, Borivoje Nikoli 2 1 Hitachi, Tokyo Japan, now with

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT 2-8.1 2-8.2 Spiral 2 8 Cell Mark Redekopp earning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Combinational Logic Gates in CMOS

Combinational Logic Gates in CMOS Combinational Logic Gates in CMOS References: dapted from: Digital Integrated Circuits: Design Perspective, J. Rabaey UC Principles of CMOS VLSI Design: Systems Perspective, 2nd Ed., N. H. E. Weste and

More information

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute.  From state elements ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: April 2, 2019 Sequential Logic, Timing Hazards and Dynamic Logic Lecture Outline! Sequential Logic! Timing Hazards! Dynamic Logic 4 Sequential

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

CMOS Transistor and Circuits. Jan 2015 CMOS Transistor 1

CMOS Transistor and Circuits. Jan 2015 CMOS Transistor 1 CMOS Transistor and Circuits Jan 2015 CMOS Transistor 1 Latchup in CMOS Circuits Jan 2015 CMOS Transistor 2 Parasitic bipolar transistors are formed by substrate and source / drain devices Latchup occurs

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Ratioed Logic Introduction Digital IC EE141 2 Ratioed Logic design Basic concept Resistive load Depletion

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit

More information

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage:

Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: ROCHESTER INSTITUTE OF TECHNOLOGY MICROELECTRONIC ENGINEERING Static Random Access Memory - SRAM Dr. Lynn Fuller Webpage: http://people.rit.edu/lffeee 82 Lomb Memorial Drive Rochester, NY 14623-5604 Email:

More information

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012

Propagation Delay, Circuit Timing & Adder Design. ECE 152A Winter 2012 Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

Propagation Delay, Circuit Timing & Adder Design

Propagation Delay, Circuit Timing & Adder Design Propagation Delay, Circuit Timing & Adder Design ECE 152A Winter 2012 Reading Assignment Brown and Vranesic 2 Introduction to Logic Circuits 2.9 Introduction to CAD Tools 2.9.1 Design Entry 2.9.2 Synthesis

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 E 66 dvanced VLI Design dder Design Department of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic ( www. ece.uah.edu/~milenka ) [dapted from Rabaey s Digital

More information

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1

Dynamic Logic. Domino logic P-E logic NORA logic 2-phase logic Multiple O/P domino logic Cascode logic 11/28/2012 1 Dynamic Logic Dynamic Circuits will be introduced and their performance in terms of power, area, delay, energy and AT 2 will be reviewed. We will review the following logic families: Domino logic P-E logic

More information

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University

EE434 ASIC & Digital Systems. Partha Pande School of EECS Washington State University EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Lecture 11 Physical Design Issues Interconnect Scaling Effects Dense multilayer metal increases coupling

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

ENEE 359a Digital VLSI Design

ENEE 359a Digital VLSI Design SLIDE 1 ENEE 359a Digital VLSI Design Some & How to Deal with Them Prof. blj@eng.umd.edu Credit where credit is due: Slides contain original artwork ( Jacob 2004) as well as material taken liberally from

More information

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo

Digital Integrated Circuits Designing Combinational Logic Circuits. Fuyuzhuo Digital Integrated Circuits Designing Combinational Logic Circuits Fuyuzhuo Introduction Digital IC Combinational vs. Sequential Logic In Combinational Logic Circuit Out In Combinational Logic Circuit

More information

EE241 - Spring 2006 Advanced Digital Integrated Circuits. Notes. Lecture 7: Logic Families for Performance

EE241 - Spring 2006 Advanced Digital Integrated Circuits. Notes. Lecture 7: Logic Families for Performance EE241 - Spring 2006 dvanced Digital Integrated Circuits Lecture 7: Logic Families for Performance Notes Hw 1 due tomorrow Feedback on projects will be sent out by the end of the weekend Some thoughts on

More information

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1

Lecture 16. Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Lecture 16 Complementary metal oxide semiconductor (CMOS) CMOS 1-1 Outline Complementary metal oxide semiconductor (CMOS) Inverting circuit Properties Operating points Propagation delay Power dissipation

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 E/EE, E 5 VLI Design I L: dder Design Department of Electrical and omputer Engineering University of labama in Huntsville leksandar Milenkovic ( www. ece.uah.edu/~milenka ) www. ece.uah.edu/~milenka/cpe5-f

More information

Chapter 4. Problems. 1 Chapter 4 Problem Set

Chapter 4. Problems. 1 Chapter 4 Problem Set 1 Chapter 4 Problem Set Chapter 4 Problems 1. [M, None, 4.x] Figure 0.1 shows a clock-distribution network. Each segment of the clock network (between the nodes) is 5 mm long, 3 µm wide, and is implemented

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa atarina enter for Technology omputer Science & Electronics Engineering Integrated ircuits & Systems INE 5442 Lecture 16 MOS ombinational ircuits - 2 guntzel@inf.ufsc.br Pass

More information

EE 330 Lecture 42. Other Logic Styles Digital Building Blocks

EE 330 Lecture 42. Other Logic Styles Digital Building Blocks EE 330 Lecture 42 Other Logic Styles Digital Building Blocks Logic Styles Static CMOS Complex Logic Gates Pass Transistor Logic (PTL) Pseudo NMOS Dynamic Logic Domino Zipper Static CMOS Widely used Attractive

More information

Adder Design and Analysis

Adder Design and Analysis Adder Design and Analysis University of Washington EE 477 Zach Pritchett and Cody Hogan Winter 2012 Total Area 8.69 µm 2 Worst Case Delay ns FOM x 10 - Table of Contents 1 Introduction... 2 2 Design...

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

EEC 118 Lecture #12: Dynamic Logic

EEC 118 Lecture #12: Dynamic Logic EEC 118 Lecture #12: Dynamic Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Today: Alternative MOS Logic Styles Dynamic MOS Logic Circuits: Rabaey

More information

EECS 141: SPRING 98 FINAL

EECS 141: SPRING 98 FINAL University of California College of Engineering Department of Electrical Engineering and Computer Science J. M. Rabaey 511 Cory Hall TuTh3:3-5pm e141@eecs EECS 141: SPRING 98 FINAL For all problems, you

More information

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits

EE 330 Lecture 44. Digital Circuits. Other Logic Styles Dynamic Logic Circuits EE 330 Lecture 44 Digital Circuits Other Logic Styles Dynamic Logic Circuits Course Evaluation Reminder - ll Electronic http://bit.ly/isustudentevals Review from Last Time Power Dissipation in Logic Circuits

More information

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers 04/29/03 EE371 Power Delivery D. Ayers 1 VLSI Power Delivery David Ayers 04/29/03 EE371 Power Delivery D. Ayers 2 Outline Die power delivery Die power goals Typical processor power grid Transistor power

More information

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection

Topic 6. CMOS Static & Dynamic Logic Gates. Static CMOS Circuit. NMOS Transistors in Series/Parallel Connection NMOS Transistors in Series/Parallel Connection Topic 6 CMOS Static & Dynamic Logic Gates Peter Cheung Department of Electrical & Electronic Engineering Imperial College London Transistors can be thought

More information

Kenneth R. Laker, University of Pennsylvania, updated 20Jan15

Kenneth R. Laker, University of Pennsylvania, updated 20Jan15 http://www.seas.upenn.edu/~ese570/ 1 TOPICS The Course Industry Trends Digital CMOS Basics Some VLSI Fundamentals Illustrative Design Example 2 1. Apply principles of hierarchical digital CMOS VLSI, from

More information

UNIT-III GATE LEVEL DESIGN

UNIT-III GATE LEVEL DESIGN UNIT-III GATE LEVEL DESIGN LOGIC GATES AND OTHER COMPLEX GATES: Invert(nmos, cmos, Bicmos) NAND Gate(nmos, cmos, Bicmos) NOR Gate(nmos, cmos, Bicmos) The module (integrated circuit) is implemented in terms

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

Interconnect/Via CONCORDIA VLSI DESIGN LAB

Interconnect/Via CONCORDIA VLSI DESIGN LAB Interconnect/Via 1 Delay of Devices and Interconnect 2 Reduction of the feature size Increase in the influence of the interconnect delay on system performance Skew The difference in the arrival times of

More information

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh

ECE 471/571 The CMOS Inverter Lecture-6. Gurjeet Singh ECE 471/571 The CMOS Inverter Lecture-6 Gurjeet Singh NMOS-to-PMOS ratio,pmos are made β times larger than NMOS Sizing Inverters for Performance Conclusions: Intrinsic delay tp0 is independent of sizing

More information

Synthesis of Combinational Logic

Synthesis of Combinational Logic Synthesis of ombinational Logic 6.4 Gates F = xor Handouts: Lecture Slides, PS3, Lab2 6.4 - Spring 2 2/2/ L5 Logic Synthesis Review: K-map Minimization ) opy truth table into K-Map 2) Identify subcubes,

More information

PT7C4502 PLL Clock Multiplier

PT7C4502 PLL Clock Multiplier Features Low cost frequency multiplier Zero ppm multiplication error Input crystal frequency of 5-30 MHz Input clock frequency of 4-50 MHz Output clock frequencies up to 180 MHz Period jitter 50ps (100~180MHz)

More information

VLSI DESIGN OF DIGIT-SERIAL FPGA ARCHITECTURE

VLSI DESIGN OF DIGIT-SERIAL FPGA ARCHITECTURE Journal of Circuits, Systems, and Computers Vol. 3, No. (24) 7 52 c World Scientific Publishing Company VLSI ESIGN OF IGIT-SERIAL FPGA ARCHITECTURE HANHO LEE School of Information and Communication Engineering,

More information

POWER GATING. Power-gating parameters

POWER GATING. Power-gating parameters POWER GATING Power Gating is effective for reducing leakage power [3]. Power gating is the technique wherein circuit blocks that are not in use are temporarily turned off to reduce the overall leakage

More information

Power-Area trade-off for Different CMOS Design Technologies

Power-Area trade-off for Different CMOS Design Technologies Power-Area trade-off for Different CMOS Design Technologies Priyadarshini.V Department of ECE Sri Vishnu Engineering College for Women, Bhimavaram dpriya69@gmail.com Prof.G.R.L.V.N.Srinivasa Raju Head

More information

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families

EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families EEC 118 Lecture #11: CMOS Design Guidelines Alternative Static Logic Families Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Announcements Homework 5 this week Lab

More information

Digital Systems Power, Speed and Packages II CMPE 650

Digital Systems Power, Speed and Packages II CMPE 650 Speed VLSI focuses on propagation delay, in contrast to digital systems design which focuses on switching time: A B A B rise time propagation delay Faster switching times introduce problems independent

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

EE434 ASIC & Digital Systems

EE434 ASIC & Digital Systems EE434 ASIC & Digital Systems Partha Pande School of EECS Washington State University pande@eecs.wsu.edu Spring 2015 Dae Hyun Kim daehyun@eecs.wsu.edu 1 Lecture 4 More on CMOS Gates Ref: Textbook chapter

More information

Lecture 07 Modeling and Optimization of VLSI Interconnects (ECG 415/615 Introduction to VLSI System Design)

Lecture 07 Modeling and Optimization of VLSI Interconnects (ECG 415/615 Introduction to VLSI System Design) Lecture 07 Modeling and Optimization of VLSI Interconnects (ECG 415/615 Introduction to VLSI System Design) Dr. Yingtao Jiang Department of Electrical and Computer Engineering University of Nevada Las

More information

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014 Considerations in High-Speed High Performance Die-Package-Board Co-Design Jenny Jiang Altera Packaging Department October 2014 Why Co-Design? Complex Multi-Layer BGA Package Horizontal and vertical design

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Multiplexer for Capacitive sensors

Multiplexer for Capacitive sensors DATASHEET Multiplexer for Capacitive sensors Multiplexer for Capacitive Sensors page 1/7 Features Very well suited for multiple-capacitance measurement Low-cost CMOS Low output impedance Rail-to-rail digital

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

1. What is the major problem associated with cascading pass transistor logic gates?

1. What is the major problem associated with cascading pass transistor logic gates? EE 434 Exam 2 Fall 2003 Name Instructions. Students may bring 4 pages of notes to this exam. There are 9 questions. The first 8 are worth 2 points each and question 9 is worth 4 points. There are 6 problems.

More information

VLSI Logic Structures

VLSI Logic Structures VLSI Logic Structures Ratioed Logic Pass-Transistor Logic Dynamic CMOS Domino Logic Zipper CMOS Spring 25 John. Chandy inary Multiplication + x Multiplicand Multiplier Partial products Result Spring 25

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002

Introduction. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. July 30, 2002 Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Introduction July 30, 2002 1 What is this book all about? Introduction to digital integrated circuits.

More information

Combinational Logic. Prof. MacDonald

Combinational Logic. Prof. MacDonald Combinational Logic Prof. MacDonald 2 Input NOR depletion NFET load l Pull Down Network can pull OUT down if either or both inputs are above Vih consequently the NOR function. l Depletion NFET could really

More information

A High Speed Low Power Adder in Multi Output Domino Logic

A High Speed Low Power Adder in Multi Output Domino Logic Journal From the SelectedWorks of Kirat Pal Singh Winter November 28, 2014 High Speed Low Power dder in Multi Output Domino Logic Neeraj Jain, NIIST, hopal, India Puran Gour, NIIST, hopal, India rahmi

More information

HI-201HS. High Speed Quad SPST CMOS Analog Switch

HI-201HS. High Speed Quad SPST CMOS Analog Switch SEMICONDUCTOR HI-HS December 99 Features Fast Switching Times, N = ns, FF = ns Low ON Resistance of Ω Pin Compatible with Standard HI- Wide Analog Voltage Range (±V Supplies) of ±V Low Charge Injection

More information

EE-382M-8 VLSI II. Early Design Planning: Back End. Mark McDermott. The University of Texas at Austin. EE 382M-8 VLSI-2 Page Foil # 1 1

EE-382M-8 VLSI II. Early Design Planning: Back End. Mark McDermott. The University of Texas at Austin. EE 382M-8 VLSI-2 Page Foil # 1 1 EE-382M-8 VLSI II Early Design Planning: Back End Mark McDermott EE 382M-8 VLSI-2 Page Foil # 1 1 Backend EDP Flow The project activities will include: Determining the standard cell and custom library

More information

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits

ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits Faculty of Engineering ECE 334: Electronic Circuits Lecture 10: Digital CMOS Circuits CMOS Technology Complementary MOS, or CMOS, needs both PMOS and NMOS FET devices for their logic gates to be realized

More information

Technology, Jabalpur, India 1 2

Technology, Jabalpur, India 1 2 1181 LAYOUT DESIGNING AND OPTIMIZATION TECHNIQUES USED FOR DIFFERENT FULL ADDER TOPOLOGIES ARPAN SINGH RAJPUT 1, RAJESH PARASHAR 2 1 M.Tech. Scholar, 2 Assistant professor, Department of Electronics and

More information

Switching (AC) Characteristics of MOS Inverters. Prof. MacDonald

Switching (AC) Characteristics of MOS Inverters. Prof. MacDonald Switching (AC) Characteristics of MOS Inverters Prof. MacDonald 1 MOS Inverters l Performance is inversely proportional to delay l Delay is time to raise (lower) voltage at nodes node voltage is changed

More information

Dr. P. C. Pandey. EE Dept, IIT Bombay. Rev. Jan 16

Dr. P. C. Pandey. EE Dept, IIT Bombay. Rev. Jan 16 1 PCB DESIGN Dr. P. C. Pandey EE Dept, IIT Bombay Rev. Jan 16 2 Topics 1.General Considerations in Layout Design 2.Layout Design for Analog Circuits 3.Layout Design for Digital Circuits 4. Artwork Considerations

More information

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad

EE 42/100 Lecture 23: CMOS Transistors and Logic Gates. Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 23 p. 1/16 EE 42/100 Lecture 23: CMOS Transistors and Logic Gates ELECTRONICS Rev A 4/15/2012 (10:39 AM) Prof. Ali M. Niknejad University

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents Array subsystems Gate arrays technology Sea-of-gates Standard cell Macrocell

More information

Power Considerations in the Design of the Alpha Microprocessor

Power Considerations in the Design of the Alpha Microprocessor Power Considerations in the Design of the Alpha 21264 Microprocessor Michael K. Gowan, Larry L. Biro, Daniel B. Jackson Digital Equipment Corporation Hudson, Massachusetts 1. ABSTRACT Power dissipation

More information