An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction

Size: px
Start display at page:

Download "An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction"

Transcription

1 An Overview of the NASA Goddard Methodology for FPGA Radiation Testing and Soft Error Rate (SER) Prediction Melanie Berg, MEI Technologies in support of NASA/GSFC To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th

2 Overview Discuss Single Event Upset (SEU) accelerated testing considerations regarding Field Programmable Gate Array (FPGA) devices Concentrate on FPGA test structures Terminology: Single Event Transient (): current spike due to single particle ionization. Single Event Upset (SEU): transient is caught by a memory element. Causes an incorrect state. s are categorized under SEUs Single Event Functional Interrupt (SEFI) - upset disrupts function τ width To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th

3 Background: Most FPGA Designs Follow Synchronous Design Methodology Synchronous design components: Edge Triggered Flip-Flops (DFFs) Clocks and resets (global routes) Combinatorial Logic (CL) All DFFs are connected to a clock. DFFs sample their input at the rising edge of clock τ clk CL compute between clock edges Clock Period DFFs Designs are synchronous SEU test structures should also be synchronous To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th 2011 τ clk = CL 1 fs DFF Frequency 3

4 Background: Synchronous System Data Paths: StartPoint DFFs EndPoint DFFs There s a difference between synchronous and synchronized τ dly τ clk T-1 T T+1 EndDFF( T ) = f ( StartDFFs( T 1), CL) Cone of Logic Combinatorial logic create delay (τ dly ) from StartPoints to EndPoints Endpoints capture only at clock edge Every DFF has a cone of logic To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th

5 Background: Mapping into FPGA Building Blocks Map Information Is Statically Stored in FPGA Configuration Hardware design language (HDL) LOGIC LOGIC LOGIC LOGIC Combinatorial FPGA Block MUX DFF FPGA Block D To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th

6 Background: Synchronous Design Building Blocks: FPGA Logic Cells: Microsemi ProASIC3 Special logic To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th 2011 S R A M ProASIC3 Library Component Cell design building block 6

7 Background: Synchronous Design Building Blocks: FPGA Logic Cells: Xilinx Virtex-4 Special logic S R A M Xilinx Library Cell Look-up-table (LUT) To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th

8 Background: Configuration Implementation and SEU Susceptibility ANTIFUSE (OTP) SRAM (RP) To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th

9 Background: Configuration versus Functional Logic SEUs in SRAM-Based Configuration FPGAs I 1 I 2 I 3 I 4 LUT I 1 I 2 I 3 I 4 R O U T I N G Look Up Table: LUT I 1 I 2 I 3 I 4 D M A T R I X LUT LUT SERs are calculated for configuration and functional logic. This is the case for memory based configuration. Antifuse does not have the same susceptibility issues To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th 2011

10 Background: Categorization of FPGA Fabric Structures and Their Potential SEUs as Defined by NASA Goddard REAG: SEU Cross section: σ SEU = #upsets/(#particles /cm 2 ) Design σ SEU Configuration σ SEU Functional logic Calculated per LET σ SEU Sequential and Combinatorial logic (CL) in data path SEFI σ SEU Global Routes and Hidden Logic SEU Testing is required in order to characterize σ SEU s for each of FPGA categories. We will focus on test structures used to analyze the functional logic data path To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th

11 Ideal Test Structures for Functional Logic Path SEU Testing Goal is to estimate error rates for a target design/operation Must consider: Error rates of components Error rates of components when connected as a system (design) Error rates of components in a system during operation Test structures should be constructed to expose the sensitivity of various FPGA components Visibility is key State space traversal simple designs Error differentiation Complexity management want enough complexity but not too much such that errors are masked, confused, or never obtainable Think probability think statistics think repeatability To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th

12 Logic Complexity Issues and SEU Testing Complete flight designs are generally not used as test structures during accelerated SEU testing because complete designs are too complex: Error may not be visible during a test run (MASKING) Error may shut down the system during a test run and is unable to be identified. (UICK SEFIs No Identification) Design isn t ready Minimal visibility Minimal state-space coverage Bad Statistics What are you getting out the test? How long does it take to walk through a path? To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th

13 Test Structures and Data Extrapolation The idea is to extrapolate test structure SEU data to estimate the target design SER. One test structure may not be sufficient to characterize the target design susceptibility We create test structures to analyze various components and study their SEU error response under various design topologies. It s all about trends: What happens when we add combinatorial logic What happens as we increase frequency What happens when we add logic to our clock trees DFFs are our capture components and are key how does a DFF end up in an incorrect state DFFs have an upset rate DFFs can capture s in their data path DFFs clocks and resets that can cause upsets To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th

14 Implementing Traditional Test Structures In FPGA Devices. Long Inverter Chains Are Not Recommended Goal is to calculate susceptibility of inverters? General combinatorial logic gates? Issues: Assumes cascaded combinatorial logic has linear SEU effects However this is not true (capacitive effects such as attenuation) Does not take into account inverters are not inverters in FPGA devices. The formation of an inverter requires additional circuitry Does not take into account complex routing due to the length of the chain Inverter chains in FPGA devices have a significant amount of noise. Most FPGAs are made to implement synchronous designs To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th

15 Synchronous Design Testing: How can a DFF Contain an Incorrect State from a SEU? DFFs have various modes of reaching a bad state due to SEUs Attribute some modes to EndPoints and some to StartPoints Wrong function = Wrong DFF State We make a clear distinction between DFF SEUs based on Clock state and Capture DFF k Cone of Logic EndPoint DFF SEUs + StartPoint DFF SEUs + CL s DFF upsets that occur at the clock edge DFF upsets that occur between clock edges and are captured by EndPoints Single Event Transients captured by EndPoints αp(fs) DFFSEU βp(fs) DFFSEU To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th

16 How Does a StartPoint SEU get Captured by an EndPoint? τ dly 1??? 0 τ clk T-1 T T+1 Time Slack = τ clk τ dly 01 If DFF D flips its time=τ: Probability of capture: 0<τ <τ clk τ dly or τ +τ dly <τ clk 1- (τ dly /τ clk )= 1-τ dly fs To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th

17 Synchronous Design: NASA REAG FPGA Data Path Susceptibility Model per LET EndPoint StartPoints EndPoint Logic Masking Combinatorial Logic P logic(k) EndPoint Logic Masking P logic(j) StartPoint Logic Masking P logic(i) Combinatorial Logic Masking τ width(i) width P gen(i) generation P prop(i) propagation P logic(i) logic masking To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th

18 Trends and the NASA REAG FPGA Data Path Susceptibility Model EndPoint Logic Masking EndPoint StartPoints StartPoints and combinatorial logic (CL) need to be captured thus have data path de-rating factors Frequency # of Gates in Path P(fs) DFFSEU Directly Proportional N/A StartPoint Inversely Proportional Inversely Proportional CL Directly Proportional Directly Proportional To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th 2011 CL Component Contribution to σ SEU across Frequency and Gate Count 18

19 Implementing Traditional Test Structures In FPGA Devices. Windowed Shift Register (WSR) Chains Goal is to calculate susceptibility of DFFs and CL gates. Benefits Simple test structure that has no logic masking Use of combinatorial logic and DFFs helps to study trends. What happens as the amount of combinatorial logic is increased? Does frequency matter? Can easily traverse the entire state space several times over during accelerated testing Disadvantages - Does not represent a complex design well: No logic masking Linear path of combinatorial logic Capacitive loading is minimized To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th

20 Localized Triple Modular Redundancy (LTMR) s D with WSR Chains s D D V O T E R WSR 0 s D D D V O T E R D D D V O T E R WSR 8 s D D V O T E R D To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th

21 σ SEU (cm 2 /bit) Frequency Effects and WSRs in the Microsemi ProASIC3 FPGA 2.2E E E E E E E-07 LET = 28.8 No Mitigation- checker pattern 8.0E E E E E E E+08 Frequency (Hz) To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th 2011 WSR16 WSR8 WSR4 WSR0 σ SEU inversely proportional to frequency shows that DFFs are the dominant source of error σ SEU inversely proportional to amount of combinatorial logic between DFFs shows that DFFs are the dominant source of error Testing across frequency is important 21

22 Another Look at No-TMR versus LTMR with the ProASIC3 Regard the Frequency Trends 1.6E E-07 LET = 20.3 NoTMR versus LTMR- checker pattern Cross Section (cm 2 /bit) 1.2E E E E E E-08 No TMR WSR 16 LTMR WSR 16 NoTMR WSR 0 NoTMR WSR 8 LTMR WSR 8 P DFFSEU (1-τ dly fs) P gen P prop τ width fs 0.0E E E E E E E+08 Frequency (Hz) LTMR WSR 0 To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th

23 Increasing Test Structure Complexity: Shift Registers versus? There are benefits to increasing design complexity. However, limitations must be taken into account: State space traversal during testing Amount of logic masking Visibility of upsets NASA REAG uses counters and digital signal processing units (e.g. multipliers and accumulators) as test structures Interface (I/O) management can be difficult for highspeed circuits or designs with a large number of I/O. Built-in-Self-Test (BIST) can be a solution Caution: BIST circuits have limited visibility Error differentiation can become extremely difficult Determining if the test is operating correctly can become difficult To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th

24 Comparison of WSRs and Counters in the Microsemi-RTAXs. Embedded LTMR 1.0E-08 RTAX4000D WSR-Counter LET=29.9 w/ checkerboard pattern WSR WSR8I Cross Section (cm 2 /bit) 1.0E E-10 WSR4I 24-bit counters 8-bit counters >>DFFs are very well mitigated because they consistently increase with frequency upsets come from s >>Counters lie within our WSR measurements >>8-bit counter has a slightly higher cross section than the 24bit counter (masking) >>Found that Microsemi lowered the RTAX4000D σ SEUs versus RTAX2000s mitigated cell transmission buffers 1.0E Frequency (MHz) To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th

25 ProASIC3 Non-Mitigated WSR, Counter and DSP Comparisons 1.0E-06 LET = 12.1 No Mitigation- checker pattern; Counters; DSP Blocks Cross Section (cm2/bit) 1.0E E E-09 INV=16 INV=8 INV=0 DSP16 Counter No-TMR We can see that complex designs are flat across frequency Contrary to a LTMR design (RTAXs), complexity increases σ SEU s Complexity saturates σ SEU s no statistical difference between counter and DSP σ SEU s 1.0E E E E E E+08 Frequency (Hz) To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th

26 Conclusion This presentation covered a small portion of SEU characterization for FPGA designs: test structure selection Appropriate test structure selection is key to accurate SEU characterization Simple test structure error responses may not represent complex designs. Hence mapping of radiation data may not be accurate Complex test structures will limit visibility of errors and state space traversal It is best to study a variety of test structures and analyze radiation data trends: Amount of combinatorial logic Frequency Input data pattern Testing across frequency is essential To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th

27 Acknowledgements Some of this work has been sponsored by the NASA Electronic Parts and Packaging (NEPP) Program and the Defense Threat Reduction Agency (DTRA) Thanks is given to the NASA Goddard Radiation Effects and Analysis Group (REAG) for their technical assistance and support. REAG is led by Kenneth LaBel and Jonathan Pellish Contact Information: Melanie Berg: NASA Goddard REAG FPGA Principal Investigator: To be presented by Melanie Berg at the Microelectronics Reliability and ualification Workshop, Los Angeles, CA, December 12 th

Example 1: Trading ASIC and FPGA Considerations for System Insertion

Example 1: Trading ASIC and FPGA Considerations for System Insertion 2009 IEEE NSREC Short Course Selection of Integrated Circuits for Space Systems Section V: Example 1: Trading ASIC and FPGA Considerations for System Insertion Melanie Berg MEI Technologies Inc. Melanie

More information

Evaluating the NanoXplore 65nm RadHard FPGA for CERN applications. Georgios Tsiligiannis

Evaluating the NanoXplore 65nm RadHard FPGA for CERN applications. Georgios Tsiligiannis Evaluating the NanoXplore 65nm RadHard FPGA for CERN applications Georgios Tsiligiannis Outline FPGA under study Irradiation Test Setup Experimental Results Future steps Conclusions 2 FPGA under study

More information

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions

Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions Single Event Transient Effects on Microsemi ProASIC Flash-based FPGAs: analysis and possible solutions L. Sterpone Dipartimento di Automatica e Informatica Politecnico di Torino, Torino, ITALY 1 Motivations

More information

A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy

A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy Brock J. LaMeres and Clint Gauer Department of Electrical and Computer Engineering

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION

CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 34 CHAPTER III THE FPGA IMPLEMENTATION OF PULSE WIDTH MODULATION 3.1 Introduction A number of PWM schemes are used to obtain variable voltage and frequency supply. The Pulse width of PWM pulsevaries with

More information

FPGA Based System Design

FPGA Based System Design FPGA Based System Design Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 Why VLSI? Integration improves the design: higher speed; lower power; physically smaller. Integration reduces

More information

Development of SEU-robust, radiation-tolerant and industry-compatible programmable logic components

Development of SEU-robust, radiation-tolerant and industry-compatible programmable logic components PUBLISHED BY INSTITUTE OF PHYSICS PUBLISHING AND SISSA RECEIVED: August 14, 2007 ACCEPTED: September 19, 2007 PUBLISHED: September 24, 2007 Development of SEU-robust, radiation-tolerant and industry-compatible

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

New Methodologies for SET Characterization and Mitigation in Flash-Based FPGAs

New Methodologies for SET Characterization and Mitigation in Flash-Based FPGAs TNS-00477-2007.R2 1 New Methodologies for SET Characterization and Mitigation in Flash-Based FPGAs Sana Rezgui, Member, IEEE, J.J. Wang, Member, IEEE, Eric Chan Tung, Brian Cronquist, Member, IEEE, and

More information

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin The Effect of Threshold Voltages on the Soft Error Rate - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin Outline Introduction Soft Errors High Threshold ( V t ) Charge Creation Logic Attenuation

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

PROGRAMMABLE ASICs. Antifuse SRAM EPROM

PROGRAMMABLE ASICs. Antifuse SRAM EPROM PROGRAMMABLE ASICs FPGAs hold array of basic logic cells Basic cells configured using Programming Technologies Programming Technology determines basic cell and interconnect scheme Programming Technologies

More information

Soft Error Susceptibility in SRAM-Based FPGAs. With the increasing emphasis on minimizing mass and volume along with

Soft Error Susceptibility in SRAM-Based FPGAs. With the increasing emphasis on minimizing mass and volume along with Talha Ansari CprE 583 Fall 2011 Soft Error Susceptibility in SRAM-Based FPGAs With the increasing emphasis on minimizing mass and volume along with cost in aerospace equipment, the use of FPGAs has slowly

More information

On Built-In Self-Test for Adders

On Built-In Self-Test for Adders On Built-In Self-Test for s Mary D. Pulukuri and Charles E. Stroud Dept. of Electrical and Computer Engineering, Auburn University, Alabama Abstract - We evaluate some previously proposed test approaches

More information

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques.

Lecture 3, Handouts Page 1. Introduction. EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Simulation Techniques. Introduction EECE 353: Digital Systems Design Lecture 3: Digital Design Flows, Techniques Cristian Grecu grecuc@ece.ubc.ca Course web site: http://courses.ece.ubc.ca/353/ What have you learned so far?

More information

Workshop on Fault-Injection and Fault-Tolerance tools for Reprogrammable FPGAs

Workshop on Fault-Injection and Fault-Tolerance tools for Reprogrammable FPGAs Workshop on Fault-Injection and Fault-Tolerance tools for Reprogrammable FPGAs 11 th September 2009 Tools for Re/Programmable FPGAs 1 V3.0 Agenda (1/4) 9:00 9:15 Welcome address Agustín Fernández-León,

More information

Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems

Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems Ihsen Alouani, Smail Niar, Yassin El-Hillali, and Atika Rivenq 1 I. Alouani and S. Niar LAMIH lab University of Valenciennes

More information

Digital design & Embedded systems

Digital design & Embedded systems FYS4220/9220 Digital design & Embedded systems Lecture #5 J. K. Bekkeng, 2.7.2011 Phase-locked loop (PLL) Implemented using a VCO (Voltage controlled oscillator), a phase detector and a closed feedback

More information

ECSS-Q-HB HANDBOOK Techniques for Radiation Effects Mitigation in ASICs and FPGAs

ECSS-Q-HB HANDBOOK Techniques for Radiation Effects Mitigation in ASICs and FPGAs ECSS-Q-HB-60-02 HANDBOOK Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernández León Microelectronics Section ESA / ESTEC SEE / MAPLD Workshop May 18-21, 2105 OUTLINE Scope and goals

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute. " From state elements

! Sequential Logic. ! Timing Hazards. ! Dynamic Logic. ! Add state elements (registers, latches) ! Compute.  From state elements ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 19: April 2, 2019 Sequential Logic, Timing Hazards and Dynamic Logic Lecture Outline! Sequential Logic! Timing Hazards! Dynamic Logic 4 Sequential

More information

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30

EE 330 Lecture 44. Digital Circuits. Ring Oscillators Sequential Logic Array Logic Memory Arrays. Final: Tuesday May 2 7:30-9:30 EE 330 Lecture 44 igital Circuits Ring Oscillators Sequential Logic Array Logic Memory Arrays Final: Tuesday May 2 7:30-9:30 Review from Last Time ynamic Logic Basic ynamic Logic Gate V F A n PN Any of

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/15 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev A 4/14/2010 (8:30 PM) Prof. Ali M. Niknejad University of California,

More information

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012

Advanced FPGA Design. Tinoosh Mohsenin CMPE 491/691 Spring 2012 Advanced FPGA Design Tinoosh Mohsenin CMPE 491/691 Spring 2012 Today Administrative items Syllabus and course overview Digital signal processing overview 2 Course Communication Email Urgent announcements

More information

Partial evaluation based triple modular redundancy for single event upset mitigation

Partial evaluation based triple modular redundancy for single event upset mitigation University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 2005 Partial evaluation based triple modular redundancy for single event upset mitigation Sujana Kakarla University

More information

STUDY OF SINGLE EVENT UPSETS (SEUS) A SURVEY AND ANALYSIS

STUDY OF SINGLE EVENT UPSETS (SEUS) A SURVEY AND ANALYSIS STUDY OF SINGLE EVENT UPSETS (SEUS) A SURVEY AND ANALYSIS by Sheldon Mark Foulds, B.ASc., Ghulam Ishaq Khan University, Topi, Swabi, Pakistan, 2009, A project presented to Ryerson University in partial

More information

WHAT ARE FIELD PROGRAMMABLE. Audible plays called at the line of scrimmage? Signaling for a squeeze bunt in the ninth inning?

WHAT ARE FIELD PROGRAMMABLE. Audible plays called at the line of scrimmage? Signaling for a squeeze bunt in the ninth inning? WHAT ARE FIELD PROGRAMMABLE Audible plays called at the line of scrimmage? Signaling for a squeeze bunt in the ninth inning? They re none of the above! We re going to take a look at: Field Programmable

More information

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275 Single Event Effects in a 0.25 µm Silicon-On-Sapphire CMOS Technology Wickham Chen 1, Tiankuan Liu 2, Ping Gui 1, Annie C. Xiang 2, Cheng-AnYang 2, Junheng Zhang 1, Peiqing Zhu 1, Jingbo Ye 2, and Ryszard

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Total Ionizing Dose Test Report. No. 13T-RTAX4000D-CQ352-D6NR61

Total Ionizing Dose Test Report. No. 13T-RTAX4000D-CQ352-D6NR61 Total Ionizing Dose Test Report No. 13T-RTAX4000D-CQ352-D6NR61 July 16, 2013 Table of Contents I. Summary Table... 3 II. Total Ionizing Dose (TID) Testing... 3 A. Device-Under-Test (DUT) and Irradiation

More information

Lecture 4&5 CMOS Circuits

Lecture 4&5 CMOS Circuits Lecture 4&5 CMOS Circuits Xuan Silvia Zhang Washington University in St. Louis http://classes.engineering.wustl.edu/ese566/ Worst-Case V OL 2 3 Outline Combinational Logic (Delay Analysis) Sequential Circuits

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Automated FSM Error Correction for Single Event Upsets

Automated FSM Error Correction for Single Event Upsets Automated FSM Error Correction for Single Event Upsets Nand Kumar and Darren Zacher Mentor Graphics Corporation nand_kumar{darren_zacher}@mentor.com Abstract This paper presents a technique for automatic

More information

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic

EE 330 Lecture 44. Digital Circuits. Dynamic Logic Circuits. Course Evaluation Reminder - All Electronic EE 330 Lecture 44 Digital Circuits Dynamic Logic Circuits Course Evaluation Reminder - All Electronic Digital Building Blocks Shift Registers Sequential Logic Shift Registers (stack) Array Logic Memory

More information

FPGA Circuits. na A simple FPGA model. nfull-adder realization

FPGA Circuits. na A simple FPGA model. nfull-adder realization FPGA Circuits na A simple FPGA model nfull-adder realization ndemos Presentation References n Altera Training Course Designing With Quartus-II n Altera Training Course Migrating ASIC Designs to FPGA n

More information

A low dead time vernier delay line TDC implemented in an actel flash-based FPGA

A low dead time vernier delay line TDC implemented in an actel flash-based FPGA Nuclear Science and Techniques 24 (2013) 040403 A low dead time vernier delay line TDC implemented in an actel flash-based FPGA QIN Xi 1,2 FENG Changqing 1,2,* ZHANG Deliang 1,2 ZHAO Lei 1,2 LIU Shubin

More information

Engr354: Digital Logic Circuits

Engr354: Digital Logic Circuits Engr354: Digital Logic Circuits Chapter 3: Implementation Technology Curtis Nelson Chapter 3 Overview In this chapter you will learn about: How transistors are used as switches; Integrated circuit technology;

More information

Wideband Spectral Measurement Using Time-Gated Acquisition Implemented on a User-Programmable FPGA

Wideband Spectral Measurement Using Time-Gated Acquisition Implemented on a User-Programmable FPGA Wideband Spectral Measurement Using Time-Gated Acquisition Implemented on a User-Programmable FPGA By Raajit Lall, Abhishek Rao, Sandeep Hari, and Vinay Kumar Spectral measurements for some of the Multiple

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

Affordable Rad-Hard An Impossible Dream? David R. Alexander Air Force Research Laboratory 3550 Aberdeen Avenue, SE, Albuquerque, NM;

Affordable Rad-Hard An Impossible Dream? David R. Alexander Air Force Research Laboratory 3550 Aberdeen Avenue, SE, Albuquerque, NM; SSC08-XI-5 Affordable Rad-Hard An Impossible Dream? David R. Air Force Research Laboratory 3550 Aberdeen Avenue, SE, Albuquerque, NM; 505-269-3895 Ken Hunt, Marc Owens, James Lyke Air Force Research Laboratory

More information

Module -18 Flip flops

Module -18 Flip flops 1 Module -18 Flip flops 1. Introduction 2. Comparison of latches and flip flops. 3. Clock the trigger signal 4. Flip flops 4.1. Level triggered flip flops SR, D and JK flip flops 4.2. Edge triggered flip

More information

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad

EE 42/100 Lecture 24: Latches and Flip Flops. Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad A. M. Niknejad University of California, Berkeley EE 100 / 42 Lecture 24 p. 1/21 EE 42/100 Lecture 24: Latches and Flip Flops ELECTRONICS Rev B 4/21/2010 (2:04 PM) Prof. Ali M. Niknejad University of California,

More information

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Dan Holcomb Wenchao Li Sanjit A. Seshia Department of EECS University of California, Berkeley Design Automation and Test in

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Clock Networks and Phase Lock Loops on Altera Cyclone V Devices Dr. D. J. Jackson Lecture 9-1 Global Clock Network & Phase-Locked Loops Clock management is important within digital

More information

Low Power Dissipation SEU-hardened CMOS Latch

Low Power Dissipation SEU-hardened CMOS Latch PIERS ONLINE, VOL. 3, NO. 7, 2007 1080 Low Power Dissipation SEU-hardened CMOS Latch Yuhong Li, Suge Yue, Yuanfu Zhao, and Guozhen Liang Beijing Microelectronics Technology Institute, 100076, China Abstract

More information

A high resolution FPGA based time-to-digital converter

A high resolution FPGA based time-to-digital converter A high resolution FPGA based time-to-digital converter Wei Wang, Yongmeng Dong, Jie Li, Hao Zhou, Pingbo Xiong, Zhenglin Yang School of Chongqing University of Posts and Telecommunications, Chongqing 465

More information

Multi-Channel FIR Filters

Multi-Channel FIR Filters Chapter 7 Multi-Channel FIR Filters This chapter illustrates the use of the advanced Virtex -4 DSP features when implementing a widely used DSP function known as multi-channel FIR filtering. Multi-channel

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

Evaluation of Power Costs in Applying TMR to FPGA Designs

Evaluation of Power Costs in Applying TMR to FPGA Designs Brigham Young University BYU ScholarsArchive All Faculty Publications 2004-09-01 Evaluation of Power Costs in Applying TMR to FPGA Designs Nathaniel Rollins Michael J. Wirthlin wirthlin@ee.byu.edu See

More information

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL 1 PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL Pradeep Patel Instrumentation and Control Department Prof. Deepali Shah Instrumentation and Control Department L. D. College

More information

Total Ionizing Dose Test Report. No. 14T-RTAX4000S-CQ352-D7FLT1

Total Ionizing Dose Test Report. No. 14T-RTAX4000S-CQ352-D7FLT1 Total Ionizing Dose Test Report No. 14T-RTAX4000S-CQ352-D7FLT1 December 16, 2014 Table of Contents I. Summary Table... 3 II. Total Ionizing Dose (TID) Testing... 3 A. Device-Under-Test (DUT) and Irradiation

More information

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits

CMOS Digital Integrated Circuits Lec 11 Sequential CMOS Logic Circuits Lec Sequential CMOS Logic Circuits Sequential Logic In Combinational Logic circuit Out Memory Sequential The output is determined by Current inputs Previous inputs Output = f(in, Previous In) The regenerative

More information

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities

Memory Basics. historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities Memory Basics RAM: Random Access Memory historically defined as memory array with individual bit access refers to memory with both Read and Write capabilities ROM: Read Only Memory no capabilities for

More information

CHAPTER 6 DIGITAL INSTRUMENTS

CHAPTER 6 DIGITAL INSTRUMENTS CHAPTER 6 DIGITAL INSTRUMENTS 1 LECTURE CONTENTS 6.1 Logic Gates 6.2 Digital Instruments 6.3 Analog to Digital Converter 6.4 Electronic Counter 6.6 Digital Multimeters 2 6.1 Logic Gates 3 AND Gate The

More information

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Design Methodologies December 10, 2002 L o g i c T r a n s i s t o r s p e r C h i p ( K ) 1 9 8 1 1

More information

SOFT errors are radiation-induced transient errors caused by

SOFT errors are radiation-induced transient errors caused by IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 12, DECEMBER 2006 1461 Dual-Sampling Skewed CMOS Design for Soft-Error Tolerance Ming Zhang, Student Member, IEEE, and Naresh

More information

Design of Soft Error Tolerant Memory and Logic Circuits

Design of Soft Error Tolerant Memory and Logic Circuits Design of Soft Error Tolerant Memory and Logic Circuits Shah M. Jahinuzzaman PhD Student http://vlsi.uwaterloo.ca/~smjahinu Graduate Student Research Talks, E&CE January 16, 2006 CMOS Design and Reliability

More information

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers

High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers High Speed Communication Circuits and Systems Lecture 14 High Speed Frequency Dividers Michael H. Perrott March 19, 2004 Copyright 2004 by Michael H. Perrott All rights reserved. 1 High Speed Frequency

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

TOTAL IONIZING DOSE TEST REPORT (REV1) RT4G150 Lot: KRMLM. September 1 st J.J. Wang, Stephen Varela, Harvey Chen, Kevin Chou, Michael Traas

TOTAL IONIZING DOSE TEST REPORT (REV1) RT4G150 Lot: KRMLM. September 1 st J.J. Wang, Stephen Varela, Harvey Chen, Kevin Chou, Michael Traas TOTAL IONIZING DOSE TEST REPORT (REV1) RT4G150 Lot: KRMLM September 1 st 2015 J.J. Wang, Stephen Varela, Harvey Chen, Kevin Chou, Michael Traas I. SUMMARY TABLE Parameter Tolerance 1. Gross Functionality

More information

Project Board Game Counter: Digital

Project Board Game Counter: Digital Project 1.3.3 Board Game Counter: Digital Introduction Just a few short weeks ago, most of you knew little or nothing about digital electronics. Now you are about to build and simulate a complete design.

More information

Radiation Induced Fault Detection, Diagnosis, and Characterization of Field Programmable Gate Arrays

Radiation Induced Fault Detection, Diagnosis, and Characterization of Field Programmable Gate Arrays Air Force Institute of Technology AFIT Scholar Theses and Dissertations 3-11-2011 Radiation Induced Fault Detection, Diagnosis, and Characterization of Field Programmable Gate Arrays Thomas B. Getz Follow

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

IAA-XX-14-0S-0P. Using the NANOSATC-BR1 to evaluate the effects of space radiation incidence on a radiation hardened ASIC

IAA-XX-14-0S-0P. Using the NANOSATC-BR1 to evaluate the effects of space radiation incidence on a radiation hardened ASIC 1 Techn Session XX: TECHNICAL SESSION NAME IAA-XX-14-0S-0P Using the NANOSATC-BR1 to evaluate the effects of space radiation incidence on a radiation hardened ASIC Leonardo Medeiros *, Carlos Alberto Zaffari

More information

Interconnect testing of FPGA

Interconnect testing of FPGA Center for RC eliable omputing Interconnect Testing of FPGA Stanford CRC March 12, 2001 Problem Statement Detecting all faults in FPGA interconnect resources Wire segments Programmable interconnect points

More information

Field Programmable Gate Array-Based Pulse-Width Modulation for Single Phase Active Power Filter

Field Programmable Gate Array-Based Pulse-Width Modulation for Single Phase Active Power Filter American Journal of Applied Sciences 6 (9): 1742-1747, 2009 ISSN 1546-9239 2009 Science Publications Field Programmable Gate Array-Based Pulse-Width Modulation for Single Phase Active Power Filter N.A.

More information

Energy Efficient Memory Design using Low Voltage Complementary Metal Oxide Semiconductor on 28nm FPGA

Energy Efficient Memory Design using Low Voltage Complementary Metal Oxide Semiconductor on 28nm FPGA Indian Journal of Science and Technology, Vol 8(17), DOI: 10.17485/ijst/20/v8i17/76237, August 20 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Energy Efficient Memory Design using Low Voltage Complementary

More information

SINGLE EVENT UPSET (SEU): DIAGNOSTIC AND ERROR CORRECTION SYSTEM FOR AVIONCS DEVICE

SINGLE EVENT UPSET (SEU): DIAGNOSTIC AND ERROR CORRECTION SYSTEM FOR AVIONCS DEVICE XIX IMEKO World Congress Fundamental and Applied Metrology September 6 11, 2009, Lisbon, Portugal SINGLE EVENT UPSET (SEU): DIAGNOSTIC AND ERROR CORRECTION SYSTEM FOR AVIONCS DEVICE Lorenzo Ciani 1, Marcantonio

More information

Design of Robust CMOS Circuits for Soft Error Tolerance

Design of Robust CMOS Circuits for Soft Error Tolerance Design of Robust CMOS Circuits for Soft Error Tolerance Debopriyo Chowdhury, Mohammad Amin Arbabian Department of EECS, Univ. of California, Berkeley, CA 9472 Abstract- With the continuous downscaling

More information

FLEX 10K. Features... Embedded Programmable Logic Family. Preliminary Information

FLEX 10K. Features... Embedded Programmable Logic Family. Preliminary Information FLEX 10K Embedded Programmable Logic Family June 1996, ver. 2 Data Sheet Features... The industry s first embedded programmable logic device (PLD) family, providing system integration in a single device

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC0 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC0 74HC/HCT/HCU/HCMOS Logic Package Information The IC0 74HC/HCT/HCU/HCMOS

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

Lecture 14: Datapath Functional Units Adders

Lecture 14: Datapath Functional Units Adders Lecture 14: Datapath Functional Units dders Mark Horowitz omputer Systems Laboratory Stanford University horowitz@stanford.edu MH EE271 Lecture 14 1 Overview Reading W&E 8.2.1 - dders References Hennessy

More information

Soft Error Rate Determination for Nanometer CMOS VLSI Logic

Soft Error Rate Determination for Nanometer CMOS VLSI Logic 4th Southeastern Symposium on System Theory University of New Orleans New Orleans, LA, USA, March 6-8, 8 TA.5 Soft Error Rate Determination for Nanometer CMOS VLSI Logic Fan Wang and Vishwani D. Agrawal

More information

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Amir Hasanbegovic (amirh@ifi.uio.no) Nanoelectronics Group, Dept. of Informatics, University of Oslo November 5, 2010 Overview

More information

INF3430 Clock and Synchronization

INF3430 Clock and Synchronization INF3430 Clock and Synchronization P.P.Chu Using VHDL Chapter 16.1-6 INF 3430 - H12 : Chapter 16.1-6 1 Outline 1. Why synchronous? 2. Clock distribution network and skew 3. Multiple-clock system 4. Meta-stability

More information

Design and FPGA Implementation of an Adaptive Demodulator. Design and FPGA Implementation of an Adaptive Demodulator

Design and FPGA Implementation of an Adaptive Demodulator. Design and FPGA Implementation of an Adaptive Demodulator Design and FPGA Implementation of an Adaptive Demodulator Sandeep Mukthavaram August 23, 1999 Thesis Defense for the Degree of Master of Science in Electrical Engineering Department of Electrical Engineering

More information

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 131 CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 7.1 INTRODUCTION Semiconductor memories are moving towards higher levels of integration. This increase in integration is achieved through reduction

More information

Radiation Effects Measurement Test Structure. using GF 32-nm SOI process. Lovish Masand

Radiation Effects Measurement Test Structure. using GF 32-nm SOI process. Lovish Masand Radiation Effects Measurement Test Structure using GF 32-nm SOI process by Lovish Masand A Thesis Presented in Partial Fulfillment of the Requirements for the Degree Master of Science Approved June 2017

More information

High SEE Tolerance in a Radiation Hardened CMOS Image Sensor Designed for the Meteosat Third Generation FCI-VisDA Instrument

High SEE Tolerance in a Radiation Hardened CMOS Image Sensor Designed for the Meteosat Third Generation FCI-VisDA Instrument CMOS Image Sensors for High Performance Applications 18 th and 19 th Nov 2015 High SEE Tolerance in a Radiation Hardened CMOS Image Sensor Designed for the Meteosat Third Generation FCI-VisDA Instrument

More information

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic.

Design Methodologies. Digital Integrated Circuits A Design Perspective. Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic. Digital Integrated Circuits A Design Perspective Jan M. Rabaey Anantha Chandrakasan Borivoje Nikolic Design Methodologies December 10, 2002 L o g i c T r a n s i s t o r s p e r C h i p ( K ) 1 9 8 1 1

More information

This work is supported in part by grants from GSRC and NSF (Career No )

This work is supported in part by grants from GSRC and NSF (Career No ) SEAT-LA: A Soft Error Analysis tool for Combinational Logic R. Rajaraman, J. S. Kim, N. Vijaykrishnan, Y. Xie, M. J. Irwin Microsystems Design Laboratory, Penn State University (ramanara, jskim, vijay,

More information

The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA

The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA Oct. 30th 2007 Electronic, Mechanical Components and Materials Engineering Group, JAXA H.Shindou Background In 2003, critical EEE

More information

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1

EECS150 - Digital Design Lecture 28 Course Wrap Up. Recap 1 EECS150 - Digital Design Lecture 28 Course Wrap Up Dec. 5, 2013 Prof. Ronald Fearing Electrical Engineering and Computer Sciences University of California, Berkeley (slides courtesy of Prof. John Wawrzynek)

More information

DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE

DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE DESIGN OF LOW POWER MULTIPLIER USING COMPOUND CONSTANT DELAY LOGIC STYLE 1 S. DARWIN, 2 A. BENO, 3 L. VIJAYA LAKSHMI 1 & 2 Assistant Professor Electronics & Communication Engineering Department, Dr. Sivanthi

More information

Design and Simulation of Universal Asynchronous Receiver Transmitter on Field Programmable Gate Array Using VHDL

Design and Simulation of Universal Asynchronous Receiver Transmitter on Field Programmable Gate Array Using VHDL International Journal Of Scientific Research And Education Volume 2 Issue 7 Pages 1091-1097 July-2014 ISSN (e): 2321-7545 Website:: http://ijsae.in Design and Simulation of Universal Asynchronous Receiver

More information

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology. " Gate choice, logical optimization. " Fanin, fanout, Serial vs.

! Is it feasible? ! How do we decompose the problem? ! Vdd. ! Topology.  Gate choice, logical optimization.  Fanin, fanout, Serial vs. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Design Space Exploration Lec 18: March 28, 2017 Design Space Exploration, Synchronous MOS Logic, Timing Hazards 3 Design Problem Problem Solvable!

More information

Heterogeneous Concurrent Error Detection (hced) Based on Output Anticipation

Heterogeneous Concurrent Error Detection (hced) Based on Output Anticipation International Conference on ReConFigurable Computing and FPGAs (ReConFig 2011) 30 th Nov- 2 nd Dec 2011, Cancun, Mexico Heterogeneous Concurrent Error Detection (hced) Based on Output Anticipation Naveed

More information

Field Programmable Gate Array

Field Programmable Gate Array 9 Field Programmable Gate Array This chapter introduces the principles, implementation and programming of configurable logic circuits, from the point of view of cell design and interconnection strategy.

More information

DESIGN OF LOW POWER / HIGH SPEED MULTIPLIER USING SPURIOUS POWER SUPPRESSION TECHNIQUE (SPST)

DESIGN OF LOW POWER / HIGH SPEED MULTIPLIER USING SPURIOUS POWER SUPPRESSION TECHNIQUE (SPST) Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 3, Issue. 1, January 2014,

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC06 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC06 74HC/HCT/HCU/HCMOS Logic Package Information The IC06 74HC/HCT/HCU/HCMOS

More information

LA-UR- Title: Author(s): Intended for: Approved for public release; distribution is unlimited.

LA-UR- Title: Author(s): Intended for: Approved for public release; distribution is unlimited. LA-UR- Approved for public release; distribution is unlimited. Title: Author(s): Intended for: Los Alamos National Laboratory, an affirmative action/equal opportunity employer, is operated by the Los Alamos

More information

Converter IC for Cellular Phone. Mode Digitally-Controlled Buck. A 4 µa-quiescent-current Dual- Applications. Jianhui Zhang Prof.

Converter IC for Cellular Phone. Mode Digitally-Controlled Buck. A 4 µa-quiescent-current Dual- Applications. Jianhui Zhang Prof. A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications Jinwen Xiao Angel Peterchev Jianhui Zhang Prof. Seth Sanders Power Electronics Group Dept. of

More information

Programmable Interconnect. CPE/EE 428, CPE 528: Session #13. Actel Programmable Interconnect. Actel Programmable Interconnect

Programmable Interconnect. CPE/EE 428, CPE 528: Session #13. Actel Programmable Interconnect. Actel Programmable Interconnect Programmable Interconnect CPE/EE 428, CPE 528: Session #13 Department of Electrical and Computer Engineering University of Alabama in Huntsville In addition to programmable cells, programmable ASICs must

More information

The CMS Silicon Strip Tracker and its Electronic Readout

The CMS Silicon Strip Tracker and its Electronic Readout The CMS Silicon Strip Tracker and its Electronic Readout Markus Friedl Dissertation May 2001 M. Friedl The CMS Silicon Strip Tracker and its Electronic Readout 2 Introduction LHC Large Hadron Collider:

More information

A Highly-Efficient Technique for Reducing Soft Errors in Static CMOS Circuits

A Highly-Efficient Technique for Reducing Soft Errors in Static CMOS Circuits A Highly-Efficient Technique for Reducing Soft Errors in Static MOS ircuits Srivathsan Krishnamohan and Nihar R. Mahapatra E-mail: {krishn37, nrm}@egr.msu.edu Department of Electrical & omputer Engineering,

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

CS302 - Digital Logic Design Glossary By

CS302 - Digital Logic Design Glossary By CS302 - Digital Logic Design Glossary By ABEL : Advanced Boolean Expression Language; a software compiler language for SPLD programming; a type of hardware description language (HDL) Adder : A digital

More information

CMOS Digital Integrated Circuits Analysis and Design

CMOS Digital Integrated Circuits Analysis and Design CMOS Digital Integrated Circuits Analysis and Design Chapter 8 Sequential MOS Logic Circuits 1 Introduction Combinational logic circuit Lack the capability of storing any previous events Non-regenerative

More information