Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes

Size: px
Start display at page:

Download "Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes"

Transcription

1 Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Amir Hasanbegovic Nanoelectronics Group, Dept. of Informatics, University of Oslo November 5, 2010

2 Overview Introduction Low power design, radiation environments and effects Methods Transistor structures, circuit- and architectural level hardening, layout considerations Design Flow for radiation tolerant ASICs Summary

3 Introduction Low power design techniques Multiple supply voltages MTCMOS Multiple threshold voltage CMOS. Level shifters Subthreshold to above threshold voltage level shifting Radiation hardened by design (RHBD) Methodologies Circuit level hardening Architectural level hardening Layout considerations => Low power, radiation tolerant circuits

4 Introduction Radiation environments Trapped radiation belts Protons, electrons Galactic cosmic rays High energy particles Solar flares Terrestrial radiation Cosmic ray induced neutrons Radiation effects (soft/hard errors) Single event transients (SET) Single event upsets (SEU) Multiple bit upsets (MBU) Single event latchup (SEL) Total ionizing dose effects (TID) Particle induced charge collection causing voltage fluctuations in circuit nodes

5 Introduction Why VHDL synthesis on ASICs for radiation tolerance applications? Motivations TID induced leakage currents and Vth shifts in new tech. nodes. Power consumption Design flexibility on ASICs. Speed Radhard by process technology lag (2-3 generations) Challenges Critical charge, Qcrit the amount of charge required to upset a capacitive node in a circuit Increased design density

6 Transistor -Structures and -Properties in RHBD Applications TID induced leakage currents in standard transistors Inter device leakage Intra device leakage Annular layout transistors STI sidewalls do not exist between source and drain in the annular structure => less leakage currents May be relevant for low voltage operation ION/IOFF ratio

7 Architectural level hardening Triple modular redundancy (TMR) Temporal Sampling Majority voters Dual modular redundancy (DMR) Dual interlocked cell (DICE) Guard gates (C-elements) Interlocked combinational logic

8 Circuit level hardening Dual data inputs Dual clock A=C, B=D SEU immune DICE latch A SET event on any single node in a DICE configuration is not able to trigger the feedback due to the fast recovery time imposed by the interlocked node pairs. C-elements

9 SET and SEU tolerant layout technique Layout considerations for radiation tolerance Angle of particle impact Interleaving of critical nodes Inter device leakage Guard bands Spatial distribution

10 Design Flow for radiation tolerant ASICs Synopsys Sentaurus TCAD Low level transistor-/structure characterization SET, SEU, MBU, TID induced leakage, ++ Cadence Virtuoso schematic Cell simulations Charge injection simulations/scripts Cell characterization Cadence Virtuoso layout Post layout simulations Cell characterization VHDL synthesis VHDL model Post synthesis analysis Static timing analysis Cadence SoC Encounter RTL Compiler Floorplan/ powerplanning Macro-/Standard cell placement Timing analysis and refinement AMS 0.35 um, TSMC 90 nm, STM 65 nm GDSII 45 nm available in 2011 (?) A SOI process is under consideration

11 Setup for radiation testing -Soft error detection using a Spartan 3 development board - VHDL, MATLAB

12 Summary Design of digital circuits Robust low power, radiation tolerant Radiation tolerant standard cells including level shifters Soft error characterization setup Possibility for On-chip MEMS/RF MEMS ( CMOS MEMS ) Mixed-signal circuits

13 Summary Thank you for your attention Questions?

Digital design & Embedded systems

Digital design & Embedded systems FYS4220/9220 Digital design & Embedded systems Lecture #5 J. K. Bekkeng, 2.7.2011 Phase-locked loop (PLL) Implemented using a VCO (Voltage controlled oscillator), a phase detector and a closed feedback

More information

Electronic Radiation Hardening - Technology Demonstration Activities (TDAs)

Electronic Radiation Hardening - Technology Demonstration Activities (TDAs) Electronic Radiation Hardening - Technology Demonstration Activities (TDAs) Véronique Ferlet-Cavrois ESA/ESTEC Acknowledgements to Ali Mohammadzadeh, Christian Poivey, Marc Poizat, Fredrick Sturesson ESA/ESTEC,

More information

DesignofaRad-HardLibraryof DigitalCellsforSpaceApplications

DesignofaRad-HardLibraryof DigitalCellsforSpaceApplications DesignofaRad-HardLibraryof DigitalCellsforSpaceApplications Alberto Stabile, Valentino Liberali and Cristiano Calligaro stabile@dti.unimi.it, liberali@dti.unimi.it, c.calligaro@redcatdevices.it Department

More information

STM RH-ASIC capability

STM RH-ASIC capability STM RH-ASIC capability JAXA 24 th MicroElectronic Workshop 13 th 14 th October 2011 Prepared by STM Crolles and AeroSpace Unit Deep Sub Micron (DSM) is strategic for Europe Strategic importance of European

More information

Partial evaluation based triple modular redundancy for single event upset mitigation

Partial evaluation based triple modular redundancy for single event upset mitigation University of South Florida Scholar Commons Graduate Theses and Dissertations Graduate School 2005 Partial evaluation based triple modular redundancy for single event upset mitigation Sujana Kakarla University

More information

SOFT ERROR TOLERANT DESIGN OF STATIC RANDOM ACCESS MEMORY BITCELL. Lixiang Li

SOFT ERROR TOLERANT DESIGN OF STATIC RANDOM ACCESS MEMORY BITCELL. Lixiang Li SOFT ERROR TOLERANT DESIGN OF STATIC RANDOM ACCESS MEMORY BITCELL by Lixiang Li Submitted in partial fulfilment of the requirements for the degree of Master of Applied Science at Dalhousie University Halifax,

More information

Short Course Program

Short Course Program Short Course Program TECHNIQUES FOR SEE MODELING AND MITIGATION OREGON CONVENTION CENTER OREGON BALLROOM 201-202 MONDAY, JULY 11 8:00 AM 8:10 AM 9:40 AM 10:10 AM 11:40 AM 1:20 PM 2:50 PM 3:20 PM 4:50 PM

More information

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February

UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February Semicustom Products UT90nHBD Hardened-by-Design (HBD) Standard Cell Data Sheet February 2018 www.cobham.com/hirel The most important thing we build is trust FEATURES Up to 50,000,000 2-input NAND equivalent

More information

Soft Error Susceptibility in SRAM-Based FPGAs. With the increasing emphasis on minimizing mass and volume along with

Soft Error Susceptibility in SRAM-Based FPGAs. With the increasing emphasis on minimizing mass and volume along with Talha Ansari CprE 583 Fall 2011 Soft Error Susceptibility in SRAM-Based FPGAs With the increasing emphasis on minimizing mass and volume along with cost in aerospace equipment, the use of FPGAs has slowly

More information

ECSS-Q-HB HANDBOOK Techniques for Radiation Effects Mitigation in ASICs and FPGAs

ECSS-Q-HB HANDBOOK Techniques for Radiation Effects Mitigation in ASICs and FPGAs ECSS-Q-HB-60-02 HANDBOOK Techniques for Radiation Effects Mitigation in ASICs and FPGAs A. Fernández León Microelectronics Section ESA / ESTEC SEE / MAPLD Workshop May 18-21, 2105 OUTLINE Scope and goals

More information

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275

Southern Methodist University Dallas, TX, Southern Methodist University Dallas, TX, 75275 Single Event Effects in a 0.25 µm Silicon-On-Sapphire CMOS Technology Wickham Chen 1, Tiankuan Liu 2, Ping Gui 1, Annie C. Xiang 2, Cheng-AnYang 2, Junheng Zhang 1, Peiqing Zhu 1, Jingbo Ye 2, and Ryszard

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC

Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC Separate Dual-Transistor Registers - A Circuit Solution for On-line Testing of Transient Error in UDSM-IC Yi Zhao and Sujit Dey Department of Electrical and Computer Engineering University of California,

More information

Dependence of Cell Distance and Well-Contact Density on MCU Rates by Device Simulations and Neutron Experiments in a 65-nm Bulk Process

Dependence of Cell Distance and Well-Contact Density on MCU Rates by Device Simulations and Neutron Experiments in a 65-nm Bulk Process IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 61, NO. 4, AUGUST 2014 1583 Dependence of Cell Distance and Well-Contact Density on MCU Rates by Device Simulations and Neutron Experiments in a 65-nm Bulk Process

More information

Design of Soft Error Tolerant Memory and Logic Circuits

Design of Soft Error Tolerant Memory and Logic Circuits Design of Soft Error Tolerant Memory and Logic Circuits Shah M. Jahinuzzaman PhD Student http://vlsi.uwaterloo.ca/~smjahinu Graduate Student Research Talks, E&CE January 16, 2006 CMOS Design and Reliability

More information

SEU Hardening Techniques for Retargetable, Scalable, Sub-Micron Digital Circuits and Libraries*

SEU Hardening Techniques for Retargetable, Scalable, Sub-Micron Digital Circuits and Libraries* SEU Hardening Techniques for Retargetable, Scalable, Sub-Micron Digital Circuits and Libraries* M. P. Baze, J. C. Killens, R. A. Paup, W. P. Snapp Boeing Space and Communications Seattle, WA * Work supported

More information

Optimizing Design of Fault-tolerant Computing Systems

Optimizing Design of Fault-tolerant Computing Systems Optimizing Design of Fault-tolerant Computing Systems Milos Krstic HDT 2017, 1st Workshop on Hardware Design and Theory, Agenda 1 Motivation 2 Fault Tolerant Methods 3 Methods for reducing the overhead

More information

Single Event Upset Mitigation in Low Power SRAM Design

Single Event Upset Mitigation in Low Power SRAM Design 2014 IEEE 28-th Convention of Electrical and Electronics Engineers in Israel Single Event Upset Mitigation in Low Power SRAM esign Lior Atias, Adam Teman, and Alexander Fish Emerging Nanoscaled Integrated

More information

IMPACT OF DESIGNER-CONTROLLED PARAMETERS ON SINGLE-EVENT RESPONSES FOR FLIP-FLOP DESIGNS IN ADVANCED TECHNOLOGIES. Hangfang Zhang.

IMPACT OF DESIGNER-CONTROLLED PARAMETERS ON SINGLE-EVENT RESPONSES FOR FLIP-FLOP DESIGNS IN ADVANCED TECHNOLOGIES. Hangfang Zhang. IMPACT OF DESIGNER-CONTROLLED PARAMETERS ON SINGLE-EVENT RESPONSES FOR FLIP-FLOP DESIGNS IN ADVANCED TECHNOLOGIES By Hangfang Zhang Dissertation Submitted to the Faculty of the Graduate School of Vanderbilt

More information

Numerical models of MOS devices and modelling methodology of physical effects in IC substrates.

Numerical models of MOS devices and modelling methodology of physical effects in IC substrates. Numerical models of MOS devices and modelling methodology of physical effects in IC substrates. T. Krupkina, D. Rodionov, A. Nikolaev. Moscow State Institute of Electronic Technics (Technical University)

More information

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013

3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 3084 IEEE TRANSACTIONS ON NUCLEAR SCIENCE, VOL. 60, NO. 4, AUGUST 2013 Dummy Gate-Assisted n-mosfet Layout for a Radiation-Tolerant Integrated Circuit Min Su Lee and Hee Chul Lee Abstract A dummy gate-assisted

More information

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin The Effect of Threshold Voltages on the Soft Error Rate - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin Outline Introduction Soft Errors High Threshold ( V t ) Charge Creation Logic Attenuation

More information

Redundant Skewed Clocking of Pulse-Clocked Latches for Low Power Soft-Error. Mitigation. Aditya Gujja

Redundant Skewed Clocking of Pulse-Clocked Latches for Low Power Soft-Error. Mitigation. Aditya Gujja Redundant Skewed Clocking of Pulse-Clocked Latches for Low Power Soft-Error Mitigation by Aditya Gujja A Thesis Presented in Partial Fulfillment of the Requirements for the Degree Master of Science Approved

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

Radiation Hard FPGA Configuration. Techniques using Silicon on Sapphire

Radiation Hard FPGA Configuration. Techniques using Silicon on Sapphire Radiation Hard FPGA Configuration Techniques using Silicon on Sapphire KASHFIA HAQUE August 2011 Submitted in fulfillment of the requirements for the degree of Master of Engineering School of Electrical

More information

45-nm Radiation Hardened Cache Design. Jerin Xavier. A Thesis Presented in Partial Fulfillment of the Requirements for the Degree Master of Science

45-nm Radiation Hardened Cache Design. Jerin Xavier. A Thesis Presented in Partial Fulfillment of the Requirements for the Degree Master of Science 45-nm Radiation Hardened Cache Design by Jerin Xavier A Thesis Presented in Partial Fulfillment of the Requirements for the Degree Master of Science Approved August 2012 by Graduate Supervisory Committee:

More information

SOFT errors are radiation-induced transient errors caused by

SOFT errors are radiation-induced transient errors caused by IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 12, DECEMBER 2006 1461 Dual-Sampling Skewed CMOS Design for Soft-Error Tolerance Ming Zhang, Student Member, IEEE, and Naresh

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

Low Power Dissipation SEU-hardened CMOS Latch

Low Power Dissipation SEU-hardened CMOS Latch PIERS ONLINE, VOL. 3, NO. 7, 2007 1080 Low Power Dissipation SEU-hardened CMOS Latch Yuhong Li, Suge Yue, Yuanfu Zhao, and Guozhen Liang Beijing Microelectronics Technology Institute, 100076, China Abstract

More information

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N

DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N DIGITAL INTEGRATED CIRCUITS A DESIGN PERSPECTIVE 2 N D E D I T I O N Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic CONTENTS PART I: THE FABRICS Chapter 1: Introduction (32 pages) 1.1 A Historical

More information

Development of a Radiation Tolerant 2.0 V standard cell library using a commercial deep submicron CMOS technology for the LHC experiments.

Development of a Radiation Tolerant 2.0 V standard cell library using a commercial deep submicron CMOS technology for the LHC experiments. Development of a Radiation Tolerant 2.0 V standard cell library using a commercial deep submicron CMOS technology for the LHC experiments. K. Kloukinas, F. Faccio, A. Marchioro, P. Moreira, CERN/EP-MIC,

More information

AIR FORCE INSTITUTE OF TECHNOLOGY

AIR FORCE INSTITUTE OF TECHNOLOGY REAL TIME FAULT DETECTION AND DIAGNOSTICS USING FPGA-BASED ARCHITECTURES THESIS Nathan P. Naber, Second Lieutenant, USAF AFIT/GCE/ENG/10-04 DEPARTMENT OF THE AIR FORCE AIR UNIVERSITY AIR FORCE INSTITUTE

More information

Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage

Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage Rizwan A. Ashraf, A. Al-Zahrani, and Ronald F. DeMara Department of Electrical Engineering and Computer Science

More information

High SEE Tolerance in a Radiation Hardened CMOS Image Sensor Designed for the Meteosat Third Generation FCI-VisDA Instrument

High SEE Tolerance in a Radiation Hardened CMOS Image Sensor Designed for the Meteosat Third Generation FCI-VisDA Instrument CMOS Image Sensors for High Performance Applications 18 th and 19 th Nov 2015 High SEE Tolerance in a Radiation Hardened CMOS Image Sensor Designed for the Meteosat Third Generation FCI-VisDA Instrument

More information

on-chip Design for LAr Front-end Readout

on-chip Design for LAr Front-end Readout Silicon-on on-sapphire (SOS) Technology and the Link-on on-chip Design for LAr Front-end Readout Ping Gui, Jingbo Ye, Ryszard Stroynowski Department of Electrical Engineering Physics Department Southern

More information

Method for Qcrit Measurement in Bulk CMOS Using a Switched Capacitor Circuit

Method for Qcrit Measurement in Bulk CMOS Using a Switched Capacitor Circuit Method for Qcrit Measurement in Bulk CMOS Using a Switched Capacitor Circuit John Keane Alan Drake AJ KleinOsowski Ethan H. Cannon * Fadi Gebara Chris Kim jkeane@ece.umn.edu adrake@us.ibm.com ajko@us.ibm.com

More information

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose

Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose Defect-Oriented Degradations in Recent VLSIs: Random Telegraph Noise, Bias Temperature Instability and Total Ionizing Dose Kazutoshi Kobayashi Kyoto Institute of Technology Kyoto, Japan kazutoshi.kobayashi@kit.ac.jp

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

IAA-XX-14-0S-0P. Using the NANOSATC-BR1 to evaluate the effects of space radiation incidence on a radiation hardened ASIC

IAA-XX-14-0S-0P. Using the NANOSATC-BR1 to evaluate the effects of space radiation incidence on a radiation hardened ASIC 1 Techn Session XX: TECHNICAL SESSION NAME IAA-XX-14-0S-0P Using the NANOSATC-BR1 to evaluate the effects of space radiation incidence on a radiation hardened ASIC Leonardo Medeiros *, Carlos Alberto Zaffari

More information

Workshop on Fault-Injection and Fault-Tolerance tools for Reprogrammable FPGAs

Workshop on Fault-Injection and Fault-Tolerance tools for Reprogrammable FPGAs Workshop on Fault-Injection and Fault-Tolerance tools for Reprogrammable FPGAs 11 th September 2009 Tools for Re/Programmable FPGAs 1 V3.0 Agenda (1/4) 9:00 9:15 Welcome address Agustín Fernández-León,

More information

TOTAL IONIZING DOSE CHARACTERIZATION OF A COMMERCIALLY FABRICATED ASYNCHRONOUS FFT FOR SPACE APPLICATIONS *

TOTAL IONIZING DOSE CHARACTERIZATION OF A COMMERCIALLY FABRICATED ASYNCHRONOUS FFT FOR SPACE APPLICATIONS * TOTAL IONIZING DOSE CHARACTERIZATION OF A COMMERCIALLY FABRICATED ASYNCHRONOUS FFT FOR SPACE APPLICATIONS * D. Barnhart, P. Duggan, B. Suter Air Force Research Laboratory C. Brothers Air Force Institute

More information

Development of a Hardened 150nm Standard Cell Library

Development of a Hardened 150nm Standard Cell Library Development of a Hardened 150nm Standard Cell Library João Baptista dos Santos Martins joao.baptista@smdh.org Jorge Johanny Sáenz Noval jorge.noval@smdh.org Puebla -México 1 OUTLINE Santa Maria Design

More information

A New Low Power High Reliability Flip-Flop Robust Against Process Variations

A New Low Power High Reliability Flip-Flop Robust Against Process Variations http://jecei.srttu.edu Journal of Electrical and Computer Engineering Innovations SRTTU JECEI, Vol. 4, No. 2, 2016 Regular Paper A New Low Power High Reliability Flip-Flop Robust Against Process Variations

More information

The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA

The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA The 20th Microelectronics Workshop Development status of SOI ASIC / FPGA Oct. 30th 2007 Electronic, Mechanical Components and Materials Engineering Group, JAXA H.Shindou Background In 2003, critical EEE

More information

Development of SEU-robust, radiation-tolerant and industry-compatible programmable logic components

Development of SEU-robust, radiation-tolerant and industry-compatible programmable logic components PUBLISHED BY INSTITUTE OF PHYSICS PUBLISHING AND SISSA RECEIVED: August 14, 2007 ACCEPTED: September 19, 2007 PUBLISHED: September 24, 2007 Development of SEU-robust, radiation-tolerant and industry-compatible

More information

International Journal of Innovative Research in Technology, Science and Engineering (IJIRTSE) Volume 1, Issue 1.

International Journal of Innovative Research in Technology, Science and Engineering (IJIRTSE)   Volume 1, Issue 1. Standard Cell Design with Low Leakage Using Gate Length Biasing in Cadence Virtuoso and ALU Using Power Gating Sleep Transistor Technique in Soc Encounter Priyanka Mehra M.tech, VLSI Design SRM University,

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

Davinci. Semiconductor Device Simulaion in 3D SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD

Davinci. Semiconductor Device Simulaion in 3D SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD SYSTEMS PRODUCTS LOGICAL PRODUCTS PHYSICAL IMPLEMENTATION SIMULATION AND ANALYSIS LIBRARIES TCAD Aurora DFM WorkBench Davinci Medici Raphael Raphael-NES Silicon Early Access TSUPREM-4 Taurus-Device Taurus-Lithography

More information

Power And Area Optimization of Pulse Latch Shift Register

Power And Area Optimization of Pulse Latch Shift Register International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 12, Issue 6 (June 2016), PP.41-45 Power And Area Optimization of Pulse Latch Shift

More information

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 131 CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 7.1 INTRODUCTION Semiconductor memories are moving towards higher levels of integration. This increase in integration is achieved through reduction

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

A Radiation Tolerant 4.8 Gb/s Serializer for the Giga-Bit Transceiver

A Radiation Tolerant 4.8 Gb/s Serializer for the Giga-Bit Transceiver A Radiation Tolerant 4.8 Gb/s Serializer for the Giga-Bit Transceiver Ö. Çobanoǧlu a, P. Moreira a, F. Faccio a a CERN, PH-ESE-ME, 1211 Geneva 23, Switzerland Abstract ozgur.cobanoglu@cern.ch This paper

More information

Design of Robust CMOS Circuits for Soft Error Tolerance

Design of Robust CMOS Circuits for Soft Error Tolerance Design of Robust CMOS Circuits for Soft Error Tolerance Debopriyo Chowdhury, Mohammad Amin Arbabian Department of EECS, Univ. of California, Berkeley, CA 9472 Abstract- With the continuous downscaling

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

A radiation harden enhanced Quatro (RHEQ) SRAM cell

A radiation harden enhanced Quatro (RHEQ) SRAM cell LETTER IEICE Electronics Express, Vol.14, No.18, 1 12 A radiation harden enhanced Quatro (RHEQ) SRAM cell Chunyu Peng 1a), Ziyang Chen 1, Jingbo Zhang 1,2, Songsong Xiao 1, Changyong Liu 1, Xiulong Wu

More information

A Radiation-Hard Redundant Flip-Flop to Suppress Multiple Cell Upset by Utilizing the Parasitic Bipolar Effect

A Radiation-Hard Redundant Flip-Flop to Suppress Multiple Cell Upset by Utilizing the Parasitic Bipolar Effect IEICE TRANS. ELECTRON., VOL.E96 C, NO.4 APRIL 2013 511 PAPER Special Section on Solid-State Circuit Design Architecture, Circuit, Device and Design Methodology A Radiation-Hard Redundant Flip-Flop to Suppress

More information

Reliability and Energy Dissipation in Ultra Deep Submicron Designs

Reliability and Energy Dissipation in Ultra Deep Submicron Designs Reliability and Energy Dissipation in Ultra Deep Submicron Designs 5/19/2005 page 1 Reliability and Energy Dissipation in Ultra Deep Submicron Designs Frank Sill 31 th March 2005 5/19/2005 page 2 Outline

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

ON-CHIP CHARACTERIZATION OF SINGLE-EVENT CHARGE-COLLECTION. Lakshmi Deepika Tekumala. Thesis. Submitted to the Faculty of the

ON-CHIP CHARACTERIZATION OF SINGLE-EVENT CHARGE-COLLECTION. Lakshmi Deepika Tekumala. Thesis. Submitted to the Faculty of the ON-CHIP CHARACTERIZATION OF SINGLE-EVENT CHARGE-COLLECTION By Lakshmi Deepika Tekumala Thesis Submitted to the Faculty of the Graduate School of Vanderbilt University in partial fulfillment of the requirements

More information

A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy

A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy A Power-Efficient Design Approach to Radiation Hardened Digital Circuitry using Dynamically Selectable Triple Modulo Redundancy Brock J. LaMeres and Clint Gauer Department of Electrical and Computer Engineering

More information

SYSTEM-LEVEL RADIATION HARDENING OF LOW-VOLTAGE ANALOG/MIXED-SIGNAL CIRCUITS. Nicholas M. Atkinson. Dissertation. Submitted to the Faculty of the

SYSTEM-LEVEL RADIATION HARDENING OF LOW-VOLTAGE ANALOG/MIXED-SIGNAL CIRCUITS. Nicholas M. Atkinson. Dissertation. Submitted to the Faculty of the SYSTEM-LEVEL RADIATION HARDENING OF LOW-VOLTAGE ANALOG/MIXED-SIGNAL CIRCUITS By Nicholas M. Atkinson Dissertation Submitted to the Faculty of the Graduate School of Vanderbilt University in partial fulfillment

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

Affordable Rad-Hard An Impossible Dream? David R. Alexander Air Force Research Laboratory 3550 Aberdeen Avenue, SE, Albuquerque, NM;

Affordable Rad-Hard An Impossible Dream? David R. Alexander Air Force Research Laboratory 3550 Aberdeen Avenue, SE, Albuquerque, NM; SSC08-XI-5 Affordable Rad-Hard An Impossible Dream? David R. Air Force Research Laboratory 3550 Aberdeen Avenue, SE, Albuquerque, NM; 505-269-3895 Ken Hunt, Marc Owens, James Lyke Air Force Research Laboratory

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

Course Outcome of M.Tech (VLSI Design)

Course Outcome of M.Tech (VLSI Design) Course Outcome of M.Tech (VLSI Design) PVL108: Device Physics and Technology The students are able to: 1. Understand the basic physics of semiconductor devices and the basics theory of PN junction. 2.

More information

Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems

Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems Auto-tuning Fault Tolerance Technique for DSP-Based Circuits in Transportation Systems Ihsen Alouani, Smail Niar, Yassin El-Hillali, and Atika Rivenq 1 I. Alouani and S. Niar LAMIH lab University of Valenciennes

More information

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS.

A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. A Novel Radiation Tolerant SRAM Design Based on Synergetic Functional Component Separation for Nanoscale CMOS. Abstract This paper presents a novel SRAM design for nanoscale CMOS. The new design addresses

More information

SINGLE EVENT UPSET (SEU): DIAGNOSTIC AND ERROR CORRECTION SYSTEM FOR AVIONCS DEVICE

SINGLE EVENT UPSET (SEU): DIAGNOSTIC AND ERROR CORRECTION SYSTEM FOR AVIONCS DEVICE XIX IMEKO World Congress Fundamental and Applied Metrology September 6 11, 2009, Lisbon, Portugal SINGLE EVENT UPSET (SEU): DIAGNOSTIC AND ERROR CORRECTION SYSTEM FOR AVIONCS DEVICE Lorenzo Ciani 1, Marcantonio

More information

EVALUATION OF RADIATION HARDNESS DESIGN TECHNIQUES TO IMPROVE RADIATION TOLERANCE FOR CMOS IMAGE SENSORS DEDICATED TO SPACE APPLICATIONS

EVALUATION OF RADIATION HARDNESS DESIGN TECHNIQUES TO IMPROVE RADIATION TOLERANCE FOR CMOS IMAGE SENSORS DEDICATED TO SPACE APPLICATIONS EVALUATION OF RADIATION HARDNESS DESIGN TECHNIQUES TO IMPROVE RADIATION TOLERANCE FOR CMOS IMAGE SENSORS DEDICATED TO SPACE APPLICATIONS P. MARTIN-GONTHIER, F. CORBIERE, N. HUGER, M. ESTRIBEAU, C. ENGEL,

More information

Featured Articles. SRAM Static Random Access Memory 1. DRAM Dynamic Random Access Memory. Ibe Eishi Toba Tadanobu Shimbo Ken-ichi

Featured Articles. SRAM Static Random Access Memory 1. DRAM Dynamic Random Access Memory. Ibe Eishi Toba Tadanobu Shimbo Ken-ichi Featured Articles R&D 2014 Ibe Eishi Toba Tadanobu Shimbo Ken-ichi Uezono Takumi Taniguchi Hitoshi DRAM SRAM 1. DRAMDynamic Random Access Memory 232 Th 1990 1990 100 nm SRAMStatic Random Access Memory

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

STUDY OF SOI ANNULAR MOSFET SWATI SHAH. Bachelor of Engineering in. Electronics and Telecommunication. Pune Institute of Computer Technology

STUDY OF SOI ANNULAR MOSFET SWATI SHAH. Bachelor of Engineering in. Electronics and Telecommunication. Pune Institute of Computer Technology STUDY OF SOI ANNULAR MOSFET By SWATI SHAH Bachelor of Engineering in Electronics and Telecommunication Pune Institute of Computer Technology Maharastra, India 2003 Submitted to the Faculty of the Graduate

More information

Test bench for evaluation of radiation hardness in Application Specific Integrated Circuits

Test bench for evaluation of radiation hardness in Application Specific Integrated Circuits SHEP 2016 Workshop on Sensors and High Energy Physics Test bench for evaluation of radiation hardness in Application Specific Integrated Circuits Vlad Mihai PLĂCINTĂ 1,3 Lucian Nicolae COJOCARIU 1,2 1.

More information

Digital Systems Design

Digital Systems Design Digital Systems Design Digital Systems Design and Test Dr. D. J. Jackson Lecture 1-1 Introduction Traditional digital design Manual process of designing and capturing circuits Schematic entry System-level

More information

Radiation Effects Measurement Test Structure. using GF 32-nm SOI process. Lovish Masand

Radiation Effects Measurement Test Structure. using GF 32-nm SOI process. Lovish Masand Radiation Effects Measurement Test Structure using GF 32-nm SOI process by Lovish Masand A Thesis Presented in Partial Fulfillment of the Requirements for the Degree Master of Science Approved June 2017

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

Example 1: Trading ASIC and FPGA Considerations for System Insertion

Example 1: Trading ASIC and FPGA Considerations for System Insertion 2009 IEEE NSREC Short Course Selection of Integrated Circuits for Space Systems Section V: Example 1: Trading ASIC and FPGA Considerations for System Insertion Melanie Berg MEI Technologies Inc. Melanie

More information

Incorporating More In-Depth Radiation Knowledge in the DARE180U Analog Design Kit

Incorporating More In-Depth Radiation Knowledge in the DARE180U Analog Design Kit Incorporating More In-Depth Radiation Knowledge in the DARE180U Analog Design Kit S. Verhaegen a, W. Sijbers a, S. Zagrocki a, L. Berti a, J. Wouters a, G. Franciscatto a, G. Thys a, S. Redant a B. Glass

More information

AMICSA Bridging Science & Applications F r o m E a r t h t o S p a c e a n d b a c k. Kayser-Threde GmbH. Space

AMICSA Bridging Science & Applications F r o m E a r t h t o S p a c e a n d b a c k. Kayser-Threde GmbH. Space Bridging Science & Applications F r o m E a r t h t o S p a c e a n d b a c k E a r t h S p a c e & F u t u r e Kayser-Threde GmbH Space Industrial Applications AMICSA 2008 First radiation test results

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 5, May-2013 2190 Biquad Infinite Impulse Response Filter Using High Efficiency Charge Recovery Logic K.Surya 1, K.Chinnusamy

More information

Partial Error Masking to Reduce Soft Error Failure Rate in Logic Circuits

Partial Error Masking to Reduce Soft Error Failure Rate in Logic Circuits Partial Error Masking to Reduce Soft Error Failure Rate in Circuits Kartik Mohanram * and Nur A. Touba Computer Engineering Research Center University of Texas, Austin, TX 78712-1084 E-mail: {kmram, touba}@ece.utexas.edu

More information

Radiation Induced Fault Detection, Diagnosis, and Characterization of Field Programmable Gate Arrays

Radiation Induced Fault Detection, Diagnosis, and Characterization of Field Programmable Gate Arrays Air Force Institute of Technology AFIT Scholar Theses and Dissertations 3-11-2011 Radiation Induced Fault Detection, Diagnosis, and Characterization of Field Programmable Gate Arrays Thomas B. Getz Follow

More information

RECONFIGURABLE HARDWARE ACCELERATORS FOR HIGH PERFORMANCE RADIATION TOLERANT COMPUTERS. Raymond Joseph Weber

RECONFIGURABLE HARDWARE ACCELERATORS FOR HIGH PERFORMANCE RADIATION TOLERANT COMPUTERS. Raymond Joseph Weber RECONFIGURABLE HARDWARE ACCELERATORS FOR HIGH PERFORMANCE RADIATION TOLERANT COMPUTERS by Raymond Joseph Weber A dissertation submitted in partial fulfillment of the requirements for the degree of Doctor

More information

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018

TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018 TECHNO INDIA BATANAGAR (DEPARTMENT OF ELECTRONICS & COMMUNICATION ENGINEERING) QUESTION BANK- 2018 Paper Setter Detail Name Designation Mobile No. E-mail ID Raina Modak Assistant Professor 6290025725 raina.modak@tib.edu.in

More information

Cosmic Ray Withstand Capability of RB-IGBT Utilizing different gate conditions

Cosmic Ray Withstand Capability of RB-IGBT Utilizing different gate conditions Cosmic Ray Withstand Capability of RB-IGBT Utilizing different gate conditions Daniel Hofmann ISPS 2016, August 31 st September 2 nd Topics - Overview 1. Motivation 2. IGBT Device: NPT vs. RB-IGBT 3. Effect

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC

CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 94 CHAPTER 6 DIGITAL CIRCUIT DESIGN USING SINGLE ELECTRON TRANSISTOR LOGIC 6.1 INTRODUCTION The semiconductor digital circuits began with the Resistor Diode Logic (RDL) which was smaller in size, faster

More information

Short-Strip ASIC (SSA): A 65nm Silicon-Strip Readout ASIC for the Pixel-Strip (PS) Module of the CMS Outer Tracker Detector Upgrade at HL-LHC

Short-Strip ASIC (SSA): A 65nm Silicon-Strip Readout ASIC for the Pixel-Strip (PS) Module of the CMS Outer Tracker Detector Upgrade at HL-LHC Short-Strip ASIC (SSA): A 65nm Silicon-Strip Readout ASIC for the Pixel-Strip (PS) Module of the CMS Outer Tracker Detector Upgrade at HL-LHC ab, Davide Ceresa a, Jan Kaplon a, Kostas Kloukinas a, Yusuf

More information

SEU effects in registers and in a Dual-Ported Static RAM designed in a 0.25 µm CMOS technology for applications in the LHC

SEU effects in registers and in a Dual-Ported Static RAM designed in a 0.25 µm CMOS technology for applications in the LHC SEU effects in registers and in a Dual-Ported Static RAM designed in a 0.25 µm CMOS technology for applications in the LHC F.Faccio 1, K.Kloukinas 1, G.Magazzù 2, A.Marchioro 1 1 CERN, 1211 Geneva 23,

More information

An Automated Approach to a 90-nm CMOS DRFM DSSM Circuit Design

An Automated Approach to a 90-nm CMOS DRFM DSSM Circuit Design Wright State University CORE Scholar Browse all Theses and Dissertations Theses and Dissertations 2010 An Automated Approach to a 90-nm CMOS DRFM DSSM Circuit Design Thomas A. Hopkins Wright State University

More information

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS -Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS Jiajun Shi, Mingyu Li and Csaba Andras Moritz Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA,

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits

Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Design as You See FIT: System-Level Soft Error Analysis of Sequential Circuits Dan Holcomb Wenchao Li Sanjit A. Seshia Department of EECS University of California, Berkeley Design Automation and Test in

More information

Evaluation of Power Costs in Applying TMR to FPGA Designs

Evaluation of Power Costs in Applying TMR to FPGA Designs Brigham Young University BYU ScholarsArchive All Faculty Publications 2004-09-01 Evaluation of Power Costs in Applying TMR to FPGA Designs Nathaniel Rollins Michael J. Wirthlin wirthlin@ee.byu.edu See

More information

University of Minnesota, Minneapolis, MN 2. Intel Corporation, Hillsboro, OR 3. Los Alamos National Laboratory, Los Alamos, NM

University of Minnesota, Minneapolis, MN 2. Intel Corporation, Hillsboro, OR 3. Los Alamos National Laboratory, Los Alamos, NM Statistical Characterization of Radiation- Induced Pulse Waveforms and Flip-Flop Soft Errors in 14nm Tri-Gate CMOS Using a Back- Sampling Chain (BSC) Technique Saurabh Kumar 1, M. Cho 2, L. Everson 1,

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

A BUILT-IN SELF-TEST (BIST) TECHNIQUE FOR SINGLE-EVENT TRANSIENT TESTING IN DIGITAL CIRCUITS. Anitha Balasubramanian. Thesis

A BUILT-IN SELF-TEST (BIST) TECHNIQUE FOR SINGLE-EVENT TRANSIENT TESTING IN DIGITAL CIRCUITS. Anitha Balasubramanian. Thesis A BUILT-IN SELF-TEST (BIST) TECHNIQUE FOR SINGLE-EVENT TRANSIENT TESTING IN DIGITAL CIRCUITS By Anitha Balasubramanian Thesis Submitted to the Faculty of the Graduate School of Vanderbilt University in

More information