Reliability and Energy Dissipation in Ultra Deep Submicron Designs

Size: px
Start display at page:

Download "Reliability and Energy Dissipation in Ultra Deep Submicron Designs"

Transcription

1 Reliability and Energy Dissipation in Ultra Deep Submicron Designs 5/19/2005 page 1

2 Reliability and Energy Dissipation in Ultra Deep Submicron Designs Frank Sill 31 th March /19/2005 page 2

3 Outline 1) Introduction 2) Trends 3) Reasons for Energy Dissipation and Variability 4) Solutions 5) Summary 5/19/2005 page 3

4 Why thinking about Energy and Reliability? increasing complexity increasing defect rate? increasing energy dissipation 5/19/2005 page 4

5 Why is Variability critical? Variability = variation of process / technology parameters Variability result in: Increasing delay of logic elements / wires Defect logic elements / wires Failed chips (defect Yield) Failed chips while run time (Reliability) Chips failed desired performance ( = delay) (parametric Yield) 5/19/2005 page 5

6 Variability in critical paths Variability 5/19/2005 page 6

7 Trends: ITRS Roadmap from: S. Brokar, VLSI 05 5/19/2005 page 7

8 Power Trends from: S. Brokar, VLSI 05 5/19/2005 page 8

9 Outline 1) Introduction 2) Trends 3) Reasons - Sources of Energy Dissipation - Sources of Variability 4) Solutions 5) Summary 5/19/2005 page 9

10 Sources of Energy Dissipation Energy = E switching + E leakage Energy = (C L V DD2 f t sc V DD I peak f V DD I leakage )*t VDD I gate Gate I sub I sc I dyn Source I gate Drain GND C L n+ SiO 2 I sub n+ L p - well 5/19/2005 page 10

11 Sources of Variability (1) Temperature Variations + Hot spots Power4 Server Chip from: Devgan, ICCAD 03 5/19/2005 page 11

12 Sources of Variability (2) Supply Voltage Drop from: S.Trester,2005 5/19/2005 page 12

13 Sources of Variability (3) Random dopant fluctuations Gate Gate Drain uniform Source Drain Source random # dopant atoms from: S. Brokar, VLSI 05 5/19/2005 page 13

14 More sources Electromigration Varying line width Soft errors (alpha particles, cosmic rays) 5/19/2005 page 14

15 Outline 1) Introduction 2) Trends 3) Reasons 4) Solutions - Goals - Triple Module Redundancy - Self adaptive design - Critical paths - Redundant Transistor Insertion 5) Summary 5/19/2005 page 15

16 Solutions: Goals 1) Increase defect and parametric Yield # Good Chips on Wafer Y = 100% Total # Chips 2) Increase Reliability 3) Decrease Energy nearly constant Reliability and Yield 5/19/2005 page 16

17 Triple Module Redundancy (TMR) - Implementation of three copies of the same circuit - Majority Voter decides (bit-wise) - Reliability R TMR R 3 +3R 2 (1-R), Energy x3 A B C OUT LOGIC copy of LOGIC A B C Majority Voter OUT copy of LOGIC : : 5/19/2005 page 17

18 Self adaptive design Extend idea of clock domains to Adaptive Power Domains Tackle static process and slowly varying timing variations Control VDD, V th (indirectly by body bias), T clock by Power On Test inputs and responses V dd T clock Test Module Module V bb 5/19/2005 page 18

19 Critical Paths from: S. Brokar, VLSI 05 - Problem: variations of gate properties in critical paths directly determine variation of clock frequency - Solution: Reduce count of critical paths 5/19/2005 page 19

20 Critical Paths and MVT Idea of MVT approach: use different types of Gates: LVT: fast, high leakage MVT: medium speed, medium leakage HVT: slow, low leakage Leakage reduced but count of critical paths increased! 5/19/2005 page 20

21 Critical Paths and MVT cont d Problem: Leakage reduced but count of critical paths increased! Solution: Use of HVT or MVT gates only if reliability does not fall below desired value Result: MVT allows adapted reliability User can decide between weighting of reducing leaking or increasing reliability 5/19/2005 page 21

22 Redundant Transistor Insertion Random insertion of redundant transistors Trade-off between Yield and Power Dissipation, Area, and Delay For constant delay: redundant transistors only in noncritical paths 5/19/2005 page 22

23 Redundant Transistor Insertion cont d Siristana, IEEE D&T,2004 5/19/2005 page 23

24 Summary Energy dissipation and variations top issues in deep submicron designs Improvement of yield and reliability only as tradeoff with energy dissipation and delay Redundant design and reduction of critical path count increases reliability 5/19/2005 page 24

25 Thank you! 5/19/2005 page 25

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University Low-Power VLSI Seong-Ook Jung 2011. 5. 6. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical l & Electronic Engineering i Contents 1. Introduction 2. Power classification 3. Power

More information

Pushing Ultra-Low-Power Digital Circuits

Pushing Ultra-Low-Power Digital Circuits Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era David Bol Microelectronics Laboratory Ph.D public defense December 16, 2008 Pushing Ultra-Low-Power Digital Circuits into the Nanometer Era

More information

Deep Submicron Technology: Opportunity or Dead End for Dynamic Circuit Techniques

Deep Submicron Technology: Opportunity or Dead End for Dynamic Circuit Techniques Deep Submicron Technology: Opportunity or Dead End for Dynamic Circuit Techniques Claas Cornelius 1, Frank Grassert 1, Siegmar Köppe 2, Dirk Timmermann 1 1 University of Rostock, Germany 2 Infineon Technologies

More information

Design Of Level Shifter By Using Multi Supply Voltage

Design Of Level Shifter By Using Multi Supply Voltage Design Of Level Shifter By Using Multi Supply Voltage Sowmiya J. 1, Karthika P.S 2, Dr. S Uma Maheswari 3, Puvaneswari G 1M. E. Student, Dept. of Electronics and Communication Engineering, Coimbatore Institute

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

IFSIN. WEB PAGE Fall ://weble.upc.es/ifsin/

IFSIN. WEB PAGE   Fall ://weble.upc.es/ifsin/ IFSIN IMPLEMENTACIÓ FÍSICA DE SISTEMES INTEGRATS NANOMÈTRICS IMPLEMENTACIÓN N FÍSICA F DE SISTEMAS INTEGRADOS NANOMÉTRICOS PHYSICAL IMPLEMENTATION OF NANOMETER INTEGRATED SYSTEMS Fall 2008 Prof. Xavier

More information

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics

ECE 484 VLSI Digital Circuits Fall Lecture 02: Design Metrics ECE 484 VLSI Digital Circuits Fall 2016 Lecture 02: Design Metrics Dr. George L. Engel Adapted from slides provided by Mary Jane Irwin (PSU) [Adapted from Rabaey s Digital Integrated Circuits, 2002, J.

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges

Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges (Invited Paper) Geoffrey C-F Yeap Motorola Inc., DigitalDNA Laboratories, 3501 Ed Bluestein Blvd., MD: K10, Austin,

More information

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES R. C Ismail, S. A. Z Murad and M. N. M Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Arau, Perlis, Malaysia

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES

CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES CHAPTER 3 PERFORMANCE OF A TWO INPUT NAND GATE USING SUBTHRESHOLD LEAKAGE CONTROL TECHNIQUES 41 In this chapter, performance characteristics of a two input NAND gate using existing subthreshold leakage

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus

Course Content. Course Content. Course Format. Low Power VLSI System Design Lecture 1: Introduction. Course focus Course Content Low Power VLSI System Design Lecture 1: Introduction Prof. R. Iris Bahar E September 6, 2017 Course focus low power and thermal-aware design digital design, from devices to architecture

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

Power dissipation in CMOS

Power dissipation in CMOS DC Current in For V IN < V TN, N O is cut off and I DD = 0. For V TN < V IN < V DD /2, N O is saturated. For V DD /2 < V IN < V DD +V TP, P O is saturated. For V IN > V DD + V TP, P O is cut off and I

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

METHODS FOR TRUE ENERGY- PERFORMANCE OPTIMIZATION. Naga Harika Chinta

METHODS FOR TRUE ENERGY- PERFORMANCE OPTIMIZATION. Naga Harika Chinta METHODS FOR TRUE ENERGY- PERFORMANCE OPTIMIZATION Naga Harika Chinta OVERVIEW Introduction Optimization Methods A. Gate size B. Supply voltage C. Threshold voltage Circuit level optimization A. Technology

More information

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design Anu Tonk Department of Electronics Engineering, YMCA University, Faridabad, Haryana tonkanu.saroha@gmail.com Shilpa Goyal

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

Chapter 2 Combinational Circuits

Chapter 2 Combinational Circuits Chapter 2 Combinational Circuits SKEE2263 Digital Systems Mun im/ismahani/izam {munim@utm.my,e-izam@utm.my,ismahani@fke.utm.my} February 23, 26 Why CMOS? Most logic design today is done on CMOS circuits

More information

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Workshop on Frontiers of Extreme Computing Santa Cruz, CA October 24, 2005 ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Peter M. Zeitzoff Outline Introduction MOSFET scaling and

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 CPE/EE 427, CPE 527 VLSI Design I L02: Design Metrics Department of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-03f

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage

Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage Extending Modular Redundancy to NTV: Costs and Limits of Resiliency at Reduced Supply Voltage Rizwan A. Ashraf, A. Al-Zahrani, and Ronald F. DeMara Department of Electrical Engineering and Computer Science

More information

ELEC Digital Logic Circuits Fall 2015 Delay and Power

ELEC Digital Logic Circuits Fall 2015 Delay and Power ELEC - Digital Logic Circuits Fall 5 Delay and Power Vishwani D. Agrawal James J. Danaher Professor Department of Electrical and Computer Engineering Auburn University, Auburn, AL 36849 http://www.eng.auburn.edu/~vagrawal

More information

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN

COMPARISON AMONG DIFFERENT CMOS INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com COMPARISON AMONG DIFFERENT INVERTER WITH STACK KEEPER APPROACH IN VLSI DESIGN HARSHVARDHAN UPADHYAY* ABHISHEK CHOUBEY**

More information

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut

Topics. Memory Reliability and Yield Control Logic. John A. Chandy Dept. of Electrical and Computer Engineering University of Connecticut Topics Memory Reliability and Yield Control Logic Reliability and Yield Noise Sources in T DRam BL substrate Adjacent BL C WBL α-particles WL leakage C S electrode C cross Transposed-Bitline Architecture

More information

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr.

Power and Energy. Courtesy of Dr. Daehyun Dr. Dr. Shmuel and Dr. Power and Energy Courtesy of Dr. Daehyun Lim@WSU, Dr. Harris@HMC, Dr. Shmuel Wimer@BIU and Dr. Choi@PSU http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu The Chip is HOT Power consumption increases

More information

Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect

Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect Lecture 04 CSE 40547/60547 Computing at the Nanoscale Interconnect Introduction - So far, have considered transistor-based logic in the face of technology scaling - Interconnect effects are also of concern

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation Maziar Goudarzi, Tohru Ishihara, Hiroto Yasuura System LSI Research Center Kyushu

More information

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes

Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Low Power Radiation Tolerant CMOS Design using Commercial Fabrication Processes Amir Hasanbegovic (amirh@ifi.uio.no) Nanoelectronics Group, Dept. of Informatics, University of Oslo November 5, 2010 Overview

More information

Low Power Techniques for SoC Design: basic concepts and techniques

Low Power Techniques for SoC Design: basic concepts and techniques Low Power Techniques for SoC Design: basic concepts and techniques Estagiário de Docência M.Sc. Vinícius dos Santos Livramento Prof. Dr. Luiz Cláudio Villar dos Santos Embedded Systems - INE 5439 Federal

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach

High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach RESEARCH ARTICLE OPEN ACCESS High Performance and Low power VLSI CMOS Circuit Designs using ONOFIC Approach M.Sahithi Priyanka 1, G.Manikanta 2, K.Bhaskar 3, A.Ganesh 4, V.Swetha 5 1. Student of Lendi

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm

Announcements. Advanced Digital Integrated Circuits. Quiz #3 today Homework #4 posted This lecture until 4pm EE241 - Spring 2011 dvanced Digital Integrated Circuits Lecture 20: High-Performance Logic Styles nnouncements Quiz #3 today Homework #4 posted This lecture until 4pm Reading: Chapter 8 in the owhill text

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

Leakage Power Reduction in CMOS VLSI Circuits

Leakage Power Reduction in CMOS VLSI Circuits Leakage Power Reduction in CMOS VLSI Circuits Pushpa Saini M.E. Student, Department of Electronics and Communication Engineering NITTTR, Chandigarh Rajesh Mehra Associate Professor, Department of Electronics

More information

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits

CMPEN 411 VLSI Digital Circuits Spring Lecture 24: Peripheral Memory Circuits CMPEN 411 VLSI Digital Circuits Spring 2011 Lecture 24: Peripheral Memory Circuits [Adapted from Rabaey s Digital Integrated Circuits, Second Edition, 2003 J. Rabaey, A. Chandrakasan, B. Nikolic] Sp11

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements Sign up for Piazza if you haven t already 2 1 Assigned Reading R.H.

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

EEC 118 Lecture #12: Dynamic Logic

EEC 118 Lecture #12: Dynamic Logic EEC 118 Lecture #12: Dynamic Logic Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation Outline Today: Alternative MOS Logic Styles Dynamic MOS Logic Circuits: Rabaey

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits

Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits Contribution of Gate Induced Drain Leakage to Overall Leakage and Yield Loss in Digital submicron VLSI Circuits Oleg Semenov, Andrzej Pradzynski * and Manoj Sachdev Dept. of Electrical and Computer Engineering,

More information

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI

Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI Variable Body Biasing Technique to Reduce Leakage Current in 4x4 DRAM in VLSI A.Karthik 1, K.Manasa 2 Assistant Professor, Department of Electronics and Communication Engineering, Narsimha Reddy Engineering

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT Kaushal Kumar Nigam 1, Ashok Tiwari 2 Department of Electronics Sciences, University of Delhi, New Delhi 110005, India 1 Department of Electronic

More information

Testing Digital Systems II

Testing Digital Systems II Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture Logistics

More information

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM

CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 131 CHAPTER 7 A BICS DESIGN TO DETECT SOFT ERROR IN CMOS SRAM 7.1 INTRODUCTION Semiconductor memories are moving towards higher levels of integration. This increase in integration is achieved through reduction

More information

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6)

1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) CSE 493/593 Test 2 Fall 2011 Solution 1. Short answer questions. (30) a. What impact does increasing the length of a transistor have on power and delay? Why? (6) Decreasing of W to make the gate slower,

More information

Design of Optimized Digital Logic Circuits Using FinFET

Design of Optimized Digital Logic Circuits Using FinFET Design of Optimized Digital Logic Circuits Using FinFET M. MUTHUSELVI muthuselvi.m93@gmail.com J. MENICK JERLINE jerlin30@gmail.com, R. MARIAAMUTHA maria.amutha@gmail.com I. BLESSING MESHACH DASON blessingmeshach@gmail.com.

More information

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages

Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages RESEARCH ARTICLE OPEN ACCESS Design and Implementation of Digital CMOS VLSI Circuits Using Dual Sub-Threshold Supply Voltages A. Suvir Vikram *, Mrs. K. Srilakshmi ** And Mrs. Y. Syamala *** * M.Tech,

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

Performance Analysis of Novel Domino XNOR Gate in Sub 45nm CMOS Technology

Performance Analysis of Novel Domino XNOR Gate in Sub 45nm CMOS Technology Performance Analysis of Novel Domino Gate in Sub 45nm CMOS Technology AMIT KUMAR PANDEY, RAM AWADH MISHRA, RAJENDRA KUMAR NAGARIA Department of Electronics and Communication Engineering MNNIT Allahabad-211004

More information

Self-Calibration Technique for Reduction of Hold Failures in Low-Power Nano-scaled SRAM

Self-Calibration Technique for Reduction of Hold Failures in Low-Power Nano-scaled SRAM Self-Calibration Technique for Reduction of Hold Failures in Low-Power Nano-scaled SRAM Swaroop Ghosh, Saibal Mukhopadhyay, Keejong Kim, and, Kaushik Roy School of Electrical and Computer Engineering,

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

Implementation of dual stack technique for reducing leakage and dynamic power

Implementation of dual stack technique for reducing leakage and dynamic power Implementation of dual stack technique for reducing leakage and dynamic power Citation: Swarna, KSV, Raju Y, David Solomon and S, Prasanna 2014, Implementation of dual stack technique for reducing leakage

More information

ISSCC 2001 / SESSION 11 / SRAM / 11.4

ISSCC 2001 / SESSION 11 / SRAM / 11.4 ISSCC 2001 / SESSION 11 / SRAM / 11.4 11.4 Abnormal Leakage Suppression (ALS) Scheme for Low Standby Current SRAMs Kouichi Kanda, Nguyen Duc Minh 1, Hiroshi Kawaguchi and Takayasu Sakurai University of

More information

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review

Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review Innovations In Techniques And Design Strategies For Leakage And Overall Power Reduction In Cmos Vlsi Circuits: A Review SUPRATIM SAHA Assistant Professor, Department of ECE, Subharti Institute of Technology

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

CMOS VLSI Design (A3425)

CMOS VLSI Design (A3425) CMOS VLSI Design (A3425) Unit V Dynamic Logic Concept Circuits Contents Charge Leakage Charge Sharing The Dynamic RAM Cell Clocks and Synchronization Clocked-CMOS Clock Generation Circuits Communication

More information

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches

Study and Analysis of CMOS Carry Look Ahead Adder with Leakage Power Reduction Approaches Indian Journal of Science and Technology, Vol 9(17), DOI: 10.17485/ijst/2016/v9i17/93111, May 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Study and Analysis of CMOS Carry Look Ahead Adder with

More information

IDDQ and Diagnosis. Outline. I DDQ and Diagnosis. Introduction. Definition of Diagnosis. Why Diagnosis? Test and Diagnosis Flow

IDDQ and Diagnosis. Outline. I DDQ and Diagnosis. Introduction. Definition of Diagnosis. Why Diagnosis? Test and Diagnosis Flow Center for RC eliable omputing I and Diagnosis Stanford University ugust 16, 1999 Outline Introduction oolean Diagnosis ridging Fault Diagnosis Problems I Diagnosis Future Research Topics Summary 1 2 Introduction

More information

IJMIE Volume 2, Issue 3 ISSN:

IJMIE Volume 2, Issue 3 ISSN: IJMIE Volume 2, Issue 3 ISSN: 2249-0558 VLSI DESIGN OF LOW POWER HIGH SPEED DOMINO LOGIC Ms. Rakhi R. Agrawal* Dr. S. A. Ladhake** Abstract: Simple to implement, low cost designs in CMOS Domino logic are

More information

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier

Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier LETTER IEICE Electronics Express, Vol.11, No.6, 1 7 Circuit level, 32 nm, 1-bit MOSSI-ULP adder: power, PDP and area efficient base cell for unsigned multiplier S. Vijayakumar 1a) and Reeba Korah 2b) 1

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

BICMOS Technology and Fabrication

BICMOS Technology and Fabrication 12-1 BICMOS Technology and Fabrication 12-2 Combines Bipolar and CMOS transistors in a single integrated circuit By retaining benefits of bipolar and CMOS, BiCMOS is able to achieve VLSI circuits with

More information

The Transistor. Survey: What is Moore s Law? Survey: What is Moore s Law? Technology Unit Overview. Technology Generations

The Transistor. Survey: What is Moore s Law? Survey: What is Moore s Law? Technology Unit Overview. Technology Generations CSE 560 Computer Systems Architecture Technology Survey: What is Moore s Law? What does Moore s Law state? A. The length of a transistor halves every 2 years. B. The number of transistors on a chip will

More information

Low-Power CMOS VLSI Design

Low-Power CMOS VLSI Design Low-Power CMOS VLSI Design ( 范倫達 ), Ph. D. Department of Computer Science, National Chiao Tung University, Taiwan, R.O.C. Fall, 2017 ldvan@cs.nctu.edu.tw http://www.cs.nctu.tw/~ldvan/ Outline Introduction

More information

VLSI Testing. Yield Analysis & Fault Modeling. Virendra Singh Indian Institute of Science Bangalore

VLSI Testing. Yield Analysis & Fault Modeling. Virendra Singh Indian Institute of Science Bangalore VLSI Testing Yield Analysis & Fault Modeling Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 2 VLSI Chip Yield A manufacturing

More information

Variability in Sub-100nm SRAM Designs

Variability in Sub-100nm SRAM Designs Variability in Sub-100nm SRAM Designs Ray Heald & Ping Wang Sun Microsystems Ray Heald & Ping Wang ICCAD 2004 Variability in Sub-100nm SRAM Designs 11/9/04 1 Outline Background: Quick review of what is

More information

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA

4 principal of JNTU college of Eng., JNTUH, Kukatpally, Hyderabad, A.P, INDIA Efficient Power Management Technique for Deep-Submicron Circuits P.Sreenivasulu 1, Ch.Aruna 2 Dr. K.Srinivasa Rao 3, Dr. A.Vinaya babu 4 1 Research Scholar, ECE Department, JNTU Kakinada, A.P, INDIA. 2

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

CMOS Process Variations: A Critical Operation Point Hypothesis

CMOS Process Variations: A Critical Operation Point Hypothesis CMOS Process Variations: A Critical Operation Point Hypothesis Janak H. Patel Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign jhpatel@uiuc.edu Computer Systems

More information

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area.

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area. Why Scaling? Higher density : Integration of more transistors onto a smaller chip : reducing the occupying area and production cost Higher Performance : Higher current drive : smaller metal to metal capacitance

More information

An Overview of Static Power Dissipation

An Overview of Static Power Dissipation An Overview of Static Power Dissipation Jayanth Srinivasan 1 Introduction Power consumption is an increasingly important issue in general purpose processors, particularly in the mobile computing segment.

More information

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4

CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 CPE/EE 427, CPE 527 VLSI Design I: Homeworks 3 & 4 1 2 3 4 5 6 7 8 9 10 Sum 30 10 25 10 30 40 10 15 15 15 200 1. (30 points) Misc, Short questions (a) (2 points) Postponing the introduction of signals

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

A Thermally-Aware Methodology for Design-Specific Optimization of Supply and Threshold Voltages in Nanometer Scale ICs

A Thermally-Aware Methodology for Design-Specific Optimization of Supply and Threshold Voltages in Nanometer Scale ICs A Thermally-Aware Methodology for Design-Specific Optimization of Supply and Threshold Voltages in Nanometer Scale ICs ABSTRACT Sheng-Chih Lin, Navin Srivastava and Kaustav Banerjee Department of Electrical

More information

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies

Low-Power and Process Variation Tolerant Memories in sub-90nm Technologies Low-Power and Process Variation Tolerant Memories in sub-9nm Technologies Saibal Mukhopadhyay, Swaroop Ghosh, Keejong Kim, and Kaushik Roy Dept. of ECE, Purdue University, West Lafayette, IN, @ecn.purdue.edu

More information

19. Design for Low Power

19. Design for Low Power 19. Design for Low Power Jacob Abraham Department of Electrical and Computer Engineering The University of Texas at Austin VLSI Design Fall 2017 November 8, 2017 ECE Department, University of Texas at

More information

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin

The Effect of Threshold Voltages on the Soft Error Rate. - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin The Effect of Threshold Voltages on the Soft Error Rate - V Degalahal, N Rajaram, N Vijaykrishnan, Y Xie, MJ Irwin Outline Introduction Soft Errors High Threshold ( V t ) Charge Creation Logic Attenuation

More information

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important!

Homework 10 posted just for practice. Office hours next week, schedule TBD. HKN review today. Your feedback is important! EE141 Fall 2005 Lecture 26 Memory (Cont.) Perspectives Administrative Stuff Homework 10 posted just for practice No need to turn in Office hours next week, schedule TBD. HKN review today. Your feedback

More information

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique James Lin, Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Laḃ

More information