A Dual-V DD Low Power FPGA Architecture

Size: px
Start display at page:

Download "A Dual-V DD Low Power FPGA Architecture"

Transcription

1 A Dual-V DD Low Power FPGA Architecture A. Gayasen 1, K. Lee 1, N. Vijaykrishnan 1, M. Kandemir 1, M.J. Irwin 1, and T. Tuan 2 1 Dept. of Computer Science and Engineering Pennsylvania State University University Park, PA {gayasen,kiylee,vijay,kandemir,mji}@cse.psu.edu 2 Xilinx Research Labs 2100 Logic Dr. San Jose, CA Tim.Tuan@xilinx.com Abstract. The continuing increase in FPGA size and complexity and the emergence of sub-100nm technology have made FPGA power consumption, both dynamic and static, an important design consideration. In this work, we propose a programmable dual-v DD architecture in which the supply voltage of the logic blocks and routing blocks are programmed to reduce power consumption by assigning low-v DD to non-critical paths in the design, while assigning high-v DD to the timing critical paths in the design to meet timing constraints. We evaluate the effectiveness of different V DD assignment algorithms and architectural implementations. Our experimental results show that reducing the supply voltage selectively to the non-critical paths provides significant power savings with minimal impact on performance. One of our V DD-assignment techniques provides an average power saving of 61% across different MCNC benchmarks. 1 Introduction In modern FPGAs, power consumption has become an important design consideration. Increasing performance and complexity have raised the dynamic power consumed per chip, while the use of deep sub-micron processes has resulted in higher static power in the forms of sub-threshold leakage and gate leakage. High power consumption requires expensive packaging and cooling solutions. In battery-powered applications, high power consumption may prohibit the use of FPGA altogether. Consequently, solutions for reducing FPGA power are needed. Reducing the supply voltage (V DD ) is an effective technique for reducing both dynamic and static power. Dynamic power has a quadratic dependency on supply voltage, while both sub-threshold leakage (due to Drain Induced Barrier Lowering, DIBL) and gate leakage exhibit exponential dependencies on the supply voltage. However, reducing supply voltage also negatively affects circuit performance. A well-known technique to reap the benefits of voltage scaling without the performance penalty is the use of dual-v DD. The timing critical blocks in the design operate on the normal V DD (or V DDH ), while noncritical blocks operate on a second supply rail with a lower voltage (or V DDL ). While dual-v DD ICs have been successfully used in low-power ASICs and custom ICs [17], no commercial FPGA today uses multiple V DD s for power reduction. 3 The difficulty of designing a dual-v DD FPGA is that the optimal V DD assignment changes from one design to another. Consequently, if logic blocks are statically determined to be operating at low or high V DD, the placement and routing algorithms need to be modified accordingly as in [11]. However, static assignment of V DD to the blocks may prevent the ability to reduce power consumption or to meet timing constraints for some 3 Xilinx Virtex-II FPGAs use different supply voltages for I/O and the core. Pass transistors used for interconnects are also supplied higher gate voltages to eliminate the V T drop. But this is not targeted to reduce power.

2 designs. In contrast, the use of V DD -programmability for each block helps to tune the number of high and low V DD blocks as desired by the application. In this approach, the challenge is in determining the V DD assignments to each block. The need for level converters wherever a low-v DD logic block drives a high-v DD block and the associated delay and energy overheads are an important consideration when performing these V DD assignments. Furthermore, positioning of the level converters influences the ability to assign lower V DD s to the routing blocks. In this work, we propose a programmable dual-v DD architecture in which the supply voltage of the logic and routing blocks are programmed to reduce power consumption by assigning low-v DD to non-critical paths in the design, while assigning high-v DD to the timing critical paths in the design to meet timing constraints. In our programmable dual- V DD architecture (see figure 1), the V DD of a circuit block is selected between V DDH and V DDL by using two high-v T transistors (supply transistors) connecting the block to the supplies. The state (ON/OFF) of each supply transistor is controlled by a configuration bit, which is set by the V DD assignment algorithm. The configuration bits are set either to connect the block to one of the power supplies or completely disconnect the block from both the power supply lines when the block is unused or idle. We evaluate the effectiveness of different V DD assignment algorithms and implementation choices for an island style FPGA architecture designed in 65nm technology. Our results indicate that one of our V DD -assignment techniques provides an average power saving of 61% across different MCNC benchmarks. (a) (b) (c) Fig.1. Supply transistors used for programmable V DD The remainder of this paper is organized as follows. In Section 2, we revise the related work, focusing in particular on power optimizations for FPGAs. In Section 3, we discuss our dual-v DD FPGA architecture. Section 4 describes the experimental methodology we used, and discusses the V DD assignment algorithms and the power estimation technique we used. Section 5 presents experimental results and section 6 concludes the paper. 2 Related Work Most of the previous works on power modeling, estimation and reduction in FPGA have focused primarily on dynamic power. In [9], the dynamic power of a Xilinx XC4003A FPGA was analyzed by taking measurements of test designs. [15] analyzes dynamic power consumption in Virtex-II FPGA family. [12, 10] evaluate different FPGA architectures for power efficiency. [16] presents a routability-driven bottom-up clustering technique for area and power reduction in clustered FPGAs. Leakage in FPGAs has captured interest only very recently. [18] makes a detailed analysis of leakage power in Xilinx CLBs. It concludes that significant reduction of FPGA

3 leakage is needed to enable the use of FPGAs in mobile applications. [3] presents a finegrained leakage control scheme using sleep transistors at gate level. [14] evaluates several low-leakage design techniques for FPGAs and shows that using multiple V T switch blocks reduces leakage significantly. [1] selects the polarities of logic signals to reduce active leakage power in FPGAs. [5] presents a cut enumeration algorithm targeting low power technology mapping for FPGA architectures with dual supply voltages. [6] presents a region-constrained placement approach to reduce leakage in FPGAs. Dual-V DD techniques have been proposed previously for ASICs [19,17]. Recently, a lowpower FPGA using pre-defined dual-v DD /dual-v T fabrics has been proposed in [11]. But, they have focused on reducing only dynamic power, while keeping the leakage constant. Further, they have used a fixed dual-v DD /dual-v T fabric, keeping all the routing resources at high-v DD, which limits the power savings significantly. 3 Architecture The proposed dual-v DD architecture is built on cluster-based island-style FPGA architecture, with the configuration stored in SRAM cells. It facilitates configurable supply voltage for logic blocks and routing multiplexers. Figure 2 gives an overview of the architecture. The basic logic element (BLE) consists of a 4-input LUT and a flip-flop. Eight such BLEs cluster together to form a logic block (CLB). Figure 2(a) shows how the CLB is configured using high-v T supply transistors to operate at two different voltages. (a) Dual-V DD CLB (b) Dual-V DD routing mux Fig.2. Dual-V DD architecture As mentioned in section 1, a dual-v DD design needs level conversion when a low-v DD block drives a block operating at high-v DD. In our dual-v DD architecture, level conversion takes place only at CLB pins. For this purpose, CLB pins have level converters (LCs) attached to them. A multiplexer allows to by-pass the level converter if level conversion is not needed at that pin. Placing the level converter only at CLB pins reduces the complexity of the routing fabric, and at the same time, limits the overheads due to level converters. We experimented with two architectures differing in the placement of the level converters. While the first architecture places LCs at the output pins of CLBs; the second architecture places them at CLB input pins. Figure 2a shows the first case, where only the output pins of a CLB have LCs attached to them. In this case, a net with multiple fanouts operates at high V DD if any one of the CLBs driven by this net is at high V DD (since, the signal s voltage level does not change in the routing fabric). This limits the number of

4 routing muxes that can be operated at low V DD, and therefore, is less effective in reducing routing power compared to the case when LCs are attached to CLB input pins. But, the drawback of keeping LCs at input pins of CLBs (apart from area penalty) is that a larger number of LCs are needed, which increases the leakage in logic blocks. Our results support this reasoning, but show that overall leakage is lower for the second case. Figure 2(b) shows a routing multiplexer (mux) in the dual-v DD architecture. The multiplexer s output is connected to a level-restoring buffer to restore the V T -drop through the NMOS-based multiplexer. Note that the same set of supply transistors control the voltage of configuration SRAM cells and the level-restoring buffer. Since the configuration SRAM is not timing critical, the supply transistors need to be sized just enough to supply the maximum current needed by the level-restoring buffer connected to it. If a circuit block (CLB or routing multiplexer) is completely unused, then in order to save leakage, it is desirable to completely switch-off that block. This is achieved by keeping a separate configuration bit for every supply transistor 4. Although this incurs more area overhead, it results in significant leakage savings, since resource utilization in an FPGA is typically low [18]. Due to the area overhead of level converters and supply transistors, the dual-v DD FPGA takes approximately 21% more area than a single-v DD FPGA when LCs are at CLB outputs. For the case when LCs are at CLB inputs, this number is estimated to be around 23%. Majority of leakage in an FPGA occurs in the configuration SRAM cells. It has been previously shown in [6] that by increasing the threshold voltage of the configuration SRAM, its leakage can be reduced by 98%, while increasing configuration time by 20%. Since configuration time is not critical in most of our target designs, this tradeoff for power savings is reasonable. In order to see the effect of dual-v DD on power consumption, we have neglected the configuration SRAM leakage both for single supply design, and for the dual supply design (since the reduction of configuration SRAM leakage is achieved by increasing its threshold voltage, and is equally applicable to both single and dual supply designs). 3.1 Level Conversion Level converters have been studied widely ever since multi-v DD circuits were proposed [19,13]. The area, delay and power overheads of level converters prohibit random V DD assignment to logic elements of a circuit. For the present work, we have used the level converter circuit shown in Figure 3, and a 65nm BSIM4 SPICE model to simulate it. For an FPGA architecture where level converters are placed at CLB input pins, four level converters are required per BLE. For a V DDH of 1.1V and V DDL of 0.9V, the LC delay is almost 17% of the delay of an LUT, and as much as 41% of the clock-to-q delay of the flip-flop. This significant delay in the LC prohibits the use of many LCs within a logical path of the circuit. In contrast to delay, power consumption in an LC was observed to be negligible (< 1%) compared to a BLE. This allows us to place LCs at all input pins of a CLB and still get power savings. 4 Methodology We used VPR and its power model [2,12] for this work. MCNC benchmarks were used for experimentation to evaluate the dual-v DD architecture and V DD assignment algorithms. The routing architecture that we supplied to VPR closely resembles a modern FPGA, with a routing channel width of 200, and buffered segments of lengths 1, 2, 6 and long. The LUT-size of 4, and cluster-size of 8 LUTs are chosen to be same as a Xilinx Virtex-II device. 4 In case of a routing mux, we may need to pull down the control signals when the mux is unused. The pull-down transistors can be sized very small.

5 Fig.3. Level converter circuit Fig. 4. Experimental Flow Circuit simulations were performed in SPICE using 65nm BSIM4 device models. Delays of BLE and LC were obtained from these simulations. Power consumption, both static and dynamic, of the LC was also obtained by simulating in SPICE using BSIM4 models. Figure 4 shows the experimental flow. The flow deviates from a normal VPR flow after the place and route stage. We first assign voltage to all CLBs using algorithms that are discussed below, and then estimate power of the design placed and routed on the target dual-v DD architecture. Assigning voltages after routing makes the timing analysis more accurate, since all the routing delays get incorporated in the timing graph. 4.1 V DD assignment In order to be effective, a dual V DD scheme requires that paths in the circuit vary in their delays. If all paths are of same delay then all circuit elements will require high V DD to maintain the performance of the design. Figure 5 shows the distribution of path delays averaged over MCNC benchmarks which we used for all our experimentation. It is evident from the figure that path delays in a circuit vary considerably. Therefore, a dual-v DD scheme can be expected to reduce the power consumption significantly. Figure 5 also shows the path delays after using our dual- V DD assignment algorithms. Optimal assignment of V DD to gates in a circuit is known to be an n-p complete problem. We use the heuristic shown in figure 6 for V DD assignment. Initially we assign low V DD to all CLBs in the FPGA, and find those paths whose delays become greater than the desired clock time period. e call such paths critical. Those CLBs which do not belong to any of the critical paths can be kept at low voltage without affecting performance of the design. Some of the remaining CLBs and routing muxes need to operate at high- V DD so that the design s performance target is met. The order in which these CLBs are analyzed is crucial for the performance of the heuristic. We define criticality of a CLB, as the number of critical paths that pass through this CLB 5. The CLBs within a path are analyzed in decreasing order of their criticalities. We started with CLBs on the most critical path, and proceeded to smaller paths in decreasing order of their delay. Figure 6 shows the algorithm for the case when LCs are at CLB inputs. In that case all routing muxes driven by a CLB have the same voltage as the CLB. For the other situation, when 5 This definition of criticality can potentially be improved by assigning priorities to paths depending on their delay or other parameters.

6 Fig.5. Distribution of path delays Table 1. Comparison of High-to-Low and Lowto-High algorithms (LC at CLB inputs, V DDH = 1.1V, V DDL = 0.9V Design # CLBs # V DDL CLBs Low-to-High High-to-Low alu apex apex bigkey des dsip elliptic ex ex5p misex pdc s seq spla tseng Assign V DDL to all CLBs and routing muxes P = list of all paths in the design T = longest delay path when all circuit blocks operate at V DDH T d = xt, where x 1 is a user-defined performance metric critical path = {P i P delay(p i) > T d } for each CLB criticality(clb) = number of paths passing through it while (critical path not empty) { P k = path critical path with maximum delay N = all blocks through which P k flows Sort N based on criticality (first entry has most paths) while (delay(p k ) > T d ) { N i = first(n) N = N - N i Assign V DDH to N i and all the routing muxes driven by N i update delay of all paths passing through N i } critical path = critical path - {P k } } Fig.6. Algorithm for V DD assignment: Low-to-High (assuming LCs at CLB input pins) LCs are at CLB outputs, the voltage of routing muxes driving a CLB is the same as that of the CLB. In order to enumerate all paths whose delays become larger than the required clock time period, we used the algorithm proposed in [8]. It maintains all paths in a heap data structure with their delays as the keys. Each path also maintains all the branch-points in the path in increasing order of their branch-slacks 6. We experimented with a variant of the above algorithm (High-to-Low) too, in which all the CLBs are initially kept at high voltage and then some of them are changed to low V DD (see figure 7). Before changing a CLB to low-v DD, we need to make sure that this will not increase the delay of some other path in the circuit above the desired clock period. The number of low V DD blocks using both versions, for V DDH of 1.1V and V DDL of 0.9V 6 Branch slack is defined as the decrease in path delay if a particular branch point is used to generate a new path

7 Assign V DDH to all CLBs and routing muxes P = list of all paths in the design T = longest delay path when all circuit blocks operate at V DDH T d = xt, where x 1 is a user-defined performance metric vddl delay(p i) = delay(p i) when all blocks in P i are at V DDL critical path = {P i P vddl delay(p i) > T d } for each CLB criticality(clb) = number of paths passing through it while (critical path not empty) { P k = path critical path with maximum delay N = all blocks through which P k flows Sort N based on criticality (last entry has most paths) while ((delay(p k ) < T d ) & (N not empty)) { N i = first(n) N = N - N i Assign V DDL to N i and all the routing muxes driven by N i calculate delays of all paths flowing through N i if any of the delays > T d reset N i and all routing muxes driven by N i to V DDH else update delays of all paths flowing through N i } critical path = critical path - {P k } } Fig.7. Algorithm for V DD assignment: High-to-Low (assuming LCs at CLB input pins) (for 65nm technology) is shown in table 1. For 10 out of 15 designs, the High-to-Low (h2l) version performs better than Low-to-High (l2h). This happens because in case of h2l, when the CLBs on a particular path are being analyzed whether they can be run on low-v DD, the algorithm continues to look at all the other CLBs on the path even after it failed to change the V DD of some CLB. In contrast, in the l2h case, the algorithm keeps changing CLBs on a path to high V DD (in decreasing order of criticality), till the delay of the path is less than the required clock period. This sometimes causes the path s delay to be reduced more than what was necessary. 4.2 Power estimation After all logic blocks have been assigned appropriate supply voltages, we estimate power consumption of the entire FPGA. We concentrate only on the power consumption in the core of the FPGA, and do not try to optimize or estimate IO power consumption. Furthermore, we did not estimate the power consumption in the global routing grid used for clock distribution. In order to estimate dynamic power, VPR s power model calculates transition densities at all internal nodes of the FPGA, assuming that all inputs to the FPGA have the same static probability (default: 0.5). Capacitances are estimated from the capacitance values of a MOSFET, and that of wires and switches, all of which need to be provided in the architecture file taken by VPR as an input. We used Berkeley Predictive 65nm technology parameters for our experimentation. We modified VPR s dynamic power model to include dual supply voltages, and the power consumption of level converters. Due to quadratic dependence of dynamic power on supply voltage, dynamic power of a circuit element reduces by ( VDDL V DDH ) 2 when its voltage is reduced from V DDH to V DDL. Dynamic power of a level converter (obtained from SPICE simulations) was added wherever a level converter was used (using the transition density at that node). VPR has got a basic leakage model, which calculates sub-threshold leakage due to weak inversion. But in a 65nm technology, two more effects, namely, DIBL and gate leakage

8 become significant, and need to be included in the leakage estimation. We also modified the leakage model to take into account multiple supply voltages, and sleep modes. Specifically, the following modifications were made to VPR s leakage estimation. 1. Gate leakage and sub-threshold leakage due to DIBL were included in the leakage estimation. In order to estimate leakage of a single MOSFET, we used results from SPICE simulations. 65nm BSIM4 device models were used. Simulations were performed for various supply voltages to get leakage numbers for different voltages. These numbers were incorporated into the power model of VPR to estimate gate leakage of the entire FPGA. 2. We estimated average leakage in a routing multiplexer by halving the worst case leakage, as discussed in [14]. To verify, we simulated multiplexers of various sizes and structures and found our leakage estimate to be very close to the SPICE results. 3. In the dual-v DD FPGA, unused logic blocks and routing muxes are kept in a sleep state, by switching off both the supply transistors. Circuit simulations in SPICE showed that in sleep mode, leakage of a circuit block reduces to 10% of the original (high V DD ) leakage. 4. To estimate level converter leakage, we obtained the leakage number for one level converter from SPICE simulations, and multiplied this by the number of level converters in the FPGA. 5 Results and Analysis Power reduction due to the dual-v DD architecture strongly depends on the voltage values of V DDH and V DDL. In order to understand this dependence, and to come up with a good voltage choice, we fixed the high-v DD at 1.1V and varied V DDL from 0.8V to 1.0V. Figure 8 shows the power consumption for different V DDL values (using High-to-Low Algorithm, LC at CLB s inputs). Note that for 11 (out of 15) designs, V DDL value of 0.9V results in maximum power savings. When V DDL is increased to 1.0V, although the number of CLBs on low V DD increases, the total power consumption increases. This happens because power consumption of the circuit elements at 1.0V is significantly higher than at 0.9V. On the other side, when we reduce V DDL to 0.8V, power consumption again increases because the number of CLBs and routing muxes on low V DD becomes too low. Therefore, for all other results in this section, we use a V DDL of 0.9V. For this case, on an average, we get close to 61% power saving. Figure 9 shows the power consumption of the designs for the two algorithms High-to- Low (h2l) and Low-to-High (l2h), and level converter placements at CLB outputs (LCo) or inputs (LCi). (h2llci denotes High-to-Low algorithm with LC at CLB Inputs.) Note that for most designs, the High-to-Low algorithm outperforms the Low-to-High algorithm. This is expected because we showed in section 4 that the High-to-Low algorithm resulted in larger number of low-v DD CLBs. Further, the placement of LCs at CLB inputs saves more power (average: 61%) than their placement at outputs (average: 57%). This happens because LC leakage is not large enough to overshadow the gains we get in routing power by placing LCs at CLB inputs. But note that placing the LCs at CLB inputs increases the area of the FPGA. Figure 10 shows the static and dynamic power consumption in both logic and routing resources for the different algorithms and LC placements. An important observation is that not all components of power are reduced by the same factor. The reduction in dynamic power is much less than that in leakage. For example, using High-to-Low algorithm and placing LC at CLB inputs saves 24% dynamic power and 76% leakage power. This can be attributed to two factors. First, in an FPGA since there exist a large number of unused circuit elements, it is possible to reduce the leakage in them by switching them off. And second, leakage varies exponentially with supply voltage, but dynamic power varies only quadratically with supply voltage. Note that leakage in routing resources reduces to less than 17% of the original, because in most designs it is possible to put a large number of

9 Fig. 8. Power consumption for different V DDL s. V DDH = 1.1V. Fig. 9. Power consumption for different architectures and algorithms. Fig. 10. Average power breakdown between logic and routing resources. Fig. 11. Average power consumption for different critical path delay tolerances. routing muxes in sleep state, as they are sparsely used. Another trend to note is that the logic portion of leakage is larger when LCs are placed at CLB inputs (LCi) than when they are placed at CLB outputs (LCo). This implies that the larger overall power saving for the LCi case comes entirely from the routing resources. Finally, figure 11 shows what happens when we modify the V DD assignment algorithm to allow some degradation in the performance of the design. In the figure, a delay value of 110% denotes 10% performance penalty. Note that these delay values may increase after circuit implementation due to the use of supply transistors, and due to a possible increase of wire lengths (since total CLB area and consequently inter-clb distances increase). Using h2llci, a 10% decrease in performance increases the average power saving by around 4%. But beyond 20%, power saving remains almost constant. 6 Conclusion and Future Work We have presented a dual-v DD FPGA architecture that provides significant power savings with minimal performance penalty. Variations of the V DD assignment algorithm and level converter placement were explored. It was observed that High-to-Low Algorithm coupled with placement of level converters at the input pins of CLBs resulted in maximum power savings. An average power saving of 61% was observed for this case. The dynamic power was reduced by 24%, while the reduction in static power was close to 76%. But placing the level converters at CLB output pins reduces the area penalty by about 2% and still saves about 57% of total power.

10 In the present work, the router in VPR is essentially unaware of multiple supply voltages available for every logic block and routing switches. This could be improved by performing a dual-v DD aware routing. We plan to work on this in future. 7 Acknowledgements This work was supported in part by NSF CAREER Award , NSF Awards , , and a MARCO/DARPA GSRC Grant. References 1. J. H. Anderson, F. Najm, and T. Tuan. Active Leakage Power Optimization for FPGAs. In Proceedings of ACM/SIGDA International Symposium on Field-programmable gate arrays, V. Betz and J. Rose. VPR: A New Packing, Placement and Routing Tool for FPGA Research. In International Workshop on Field-programmable Logic and Applications, B. Calhoun, F. Honore, and A. Chandrakasan. Design Methodology for Fine-grained Leakage Control in MTCMOS. In Proceedings of International Symposium on Low Power Electronics and Design, A. Chandrakasan, W. Bowhill, and F. Fox. Design of High-Performance Microprocessor Circuits. IEEE Press, D. Chen, J. Cong, F. Li, and L. He. Low-Power Technology Mapping for FPGA Architectures with Dual Supply Voltages. In Proceedings of International Symposium on Fieldprogrammable gate arrays, A. Gayasen, Y. Tsai, N. Vijaykrishnan, M. Kandemir, M. J. Irwin, and T. Tuan Reducing leakage energy in FPGAs using region-constrained placement. In Proceedings of International Symposium on Field-programmable gate arrays, V. George, H. Zhang, and J. Rabaey. The design of a low energy FPGA. In Proceedings of International Symposium on Low Power Electronics and Design, Y-C. Ju and R. A. Saleh. Incremental Techniques for the Identification of Statically Sensitizable Critical Paths. In Design Automation Conference, E. Kusse and J. Rabaey. Low-Energy Embedded FPGA Structures. In Proceedings of International Symposium on Low Power Electronics and Design, F. Li, D. Chen, L. He, and J. Cong. Architecture Evaluation for Power-Efficient FPGAs. In Proceedings of ACM/SIGDA International Symposium on Field-programmable gate arrays, F. Li, Y. Lin, L. He, and J. Cong. Low-power FPGA using Pre-Defined Dual-Vdd/Dual-Vt Fabrics. In Proceedings of ACM/SIGDA International Symposium on Field-programmable gate arrays, K. Poon, A. Yan, and S. Wilton. A flexible Power Model for FPGAs. In Proceedings of International Conference on Field Programmable Logic and Applications, R. Puri, L. Stok, J. Cohn, D. Kung, D. Pan, D. Sylvester, A. Srivastava, and S. Kulkarni. Pushing ASIC performance in a power envelope. Design Automation Conference, A. Rahman and V. Polavarapuv. Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays. In Proceedings of ACM/SIGDA International Symposium on Field-programmable gate arrays, L. Shang, A. S. Kaviani, and K. Bathala. Dynamic power consumption in Virtex[tm]- II FPGA family. In Proceedings of ACM/SIGDA International Symposium on Fieldprogrammable gate arrays, A. Singh and M. Marek-Sadowska. Efficient Circuit Clustering for Area and Power Reduction in FPGAs. In Proceedings of ACM/SIGDA International Symposium on Field-programmable gate arrays, M. Takahashi et.al. A 60-mW MPEG4 Video Codec Using Clustered Voltage Scaling with Variable Supply-Voltage Scheme. In IEEE Journal of Solid-State Circuits, Vol. 33, No. 11, Nov T. Tuan and B. Lai. Leakage Power Analysis of a 90nm FPGA. In Custom Integrated Circuits Conference, K. Usami and M. Horowitz. Clustered voltage scaling technique for low-power design. In Proceedings of International Symposium on Low Power Electronics and Design, 1995.

Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays

Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays Evaluation of Low-Leakage Design Techniques for Field Programmable Gate Arrays Arifur Rahman and Vijay Polavarapuv Department of Electrical and Computer Engineering, Polytechnic University, Brooklyn, NY

More information

Power Modeling and Characteristics of Field Programmable Gate Arrays

Power Modeling and Characteristics of Field Programmable Gate Arrays IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS, VOL. XX, NO. YY, MONTH 2005 1 Power Modeling and Characteristics of Field Programmable Gate Arrays Fei Li and Lei He Member, IEEE Abstract

More information

TRENDS in technology scaling make leakage power an

TRENDS in technology scaling make leakage power an IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 25, NO. 3, MARCH 2006 423 Active Leakage Power Optimization for FPGAs Jason H. Anderson, Student Member, IEEE, and Farid

More information

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL

PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL 1 PV SYSTEM BASED FPGA: ANALYSIS OF POWER CONSUMPTION IN XILINX XPOWER TOOL Pradeep Patel Instrumentation and Control Department Prof. Deepali Shah Instrumentation and Control Department L. D. College

More information

An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating

An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating An Energy-Efficient Near/Sub-Threshold FPGA Interconnect Architecture Using Dynamic Voltage Scaling and Power-Gating He Qi, Oluseyi Ayorinde, and Benton H. Calhoun Charles L. Brown Department of Electrical

More information

EECS 427 Lecture 22: Low and Multiple-Vdd Design

EECS 427 Lecture 22: Low and Multiple-Vdd Design EECS 427 Lecture 22: Low and Multiple-Vdd Design Reading: 11.7.1 EECS 427 W07 Lecture 22 1 Last Time Low power ALUs Glitch power Clock gating Bus recoding The low power design space Dynamic vs static EECS

More information

Acknowledgement. I would like to express my gratitude to my advisor, Professor Benton H. Calhoun for his useful comments,

Acknowledgement. I would like to express my gratitude to my advisor, Professor Benton H. Calhoun for his useful comments, Acknowledgement I would like to express my gratitude to my advisor, Professor Benton H. Calhoun for his useful comments, remarks, and engagement through the learning process of my Master s thesis. Without

More information

Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques

Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques Power Optimization of FPGA Interconnect Via Circuit and CAD Techniques Safeen Huda and Jason Anderson International Symposium on Physical Design Santa Rosa, CA, April 6, 2016 1 Motivation FPGA power increasingly

More information

Low-Power Low-Leakage FPGA Design Using Zigzag Power Gating, Dual-V TH /V DD and Micro-V DD -Hopping

Low-Power Low-Leakage FPGA Design Using Zigzag Power Gating, Dual-V TH /V DD and Micro-V DD -Hopping 280 PAPER Special Section on VLSI Design Technology in the Sub-100 nm Era Low-Power Low-Leakage FPGA Design Using Zigzag Power Gating, Dual-V TH /V DD and Micro-V DD -Hopping Canh Quang TRAN a), Hiroshi

More information

A Case Study of Nanoscale FPGA Programmable Switches with Low Power

A Case Study of Nanoscale FPGA Programmable Switches with Low Power A Case Study of Nanoscale FPGA Programmable Switches with Low Power V.Elamaran 1, Har Narayan Upadhyay 2 1 Assistant Professor, Department of ECE, School of EEE SASTRA University, Tamilnadu - 613401, India

More information

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment 1014 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 7, JULY 2005 Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment Dongwoo Lee, Student

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

IMPLICATIONS OF FUTURE TECHNOLOGIES. ON THE DESIGN OF FPGAs

IMPLICATIONS OF FUTURE TECHNOLOGIES. ON THE DESIGN OF FPGAs The Pennsylvania State University The Graduate School Department of Computer Science and Engineering IMPLICATIONS OF FUTURE TECHNOLOGIES ON THE DESIGN OF FPGAs A Thesis in Computer Science and Engineering

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

Low-Power Technology Mapping for FPGA Architectures with Dual Supply Voltages

Low-Power Technology Mapping for FPGA Architectures with Dual Supply Voltages Low-Power Technology Mapping for FPGA Architectures with Dual Supply Voltages Deming Chen, Jason Cong Computer Science Department University of California, Los Angeles {demingc, cong}@cs.ucla.edu Fei Li,

More information

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Towards PVT-Tolerant Glitch-Free Operation in FPGAs Towards PVT-Tolerant Glitch-Free Operation in FPGAs Safeen Huda and Jason H. Anderson ECE Department, University of Toronto, Canada 24 th ACM/SIGDA International Symposium on FPGAs February 22, 2016 Motivation

More information

Optimal Module and Voltage Assignment for Low-Power

Optimal Module and Voltage Assignment for Low-Power Optimal Module and Voltage Assignment for Low-Power Deming Chen +, Jason Cong +, Junjuan Xu *+ + Computer Science Department, University of California, Los Angeles, USA * Computer Science and Technology

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling

EE241 - Spring 2004 Advanced Digital Integrated Circuits. Announcements. Borivoje Nikolic. Lecture 15 Low-Power Design: Supply Voltage Scaling EE241 - Spring 2004 Advanced Digital Integrated Circuits Borivoje Nikolic Lecture 15 Low-Power Design: Supply Voltage Scaling Announcements Homework #2 due today Midterm project reports due next Thursday

More information

Performance-Driven Dual-Rail Routing Architecture for Structured ASIC Design Style Fu-Wei Chen and Yi-Yu Liu, Member, IEEE

Performance-Driven Dual-Rail Routing Architecture for Structured ASIC Design Style Fu-Wei Chen and Yi-Yu Liu, Member, IEEE 2046 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 29, NO. 12, DECEMBER 2010 Performance-Driven Dual-Rail Routing Architecture for Structured ASIC Design Style Fu-Wei

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

Leakage Power Modeling and Reduction Techniques for Field Programmable Gate Arrays

Leakage Power Modeling and Reduction Techniques for Field Programmable Gate Arrays Leakage Power Modeling and Reduction Techniques for Field Programmable Gate Arrays by Akhilesh Kumar A thesis presented to the University of Waterloo in fulfilment of the thesis requirement for the degree

More information

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS

LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS LOW-POWER SOFTWARE-DEFINED RADIO DESIGN USING FPGAS Charlie Jenkins, (Altera Corporation San Jose, California, USA; chjenkin@altera.com) Paul Ekas, (Altera Corporation San Jose, California, USA; pekas@altera.com)

More information

Optimization and Modeling of FPGA Circuitry in Advanced Process Technology. Charles Chiasson

Optimization and Modeling of FPGA Circuitry in Advanced Process Technology. Charles Chiasson Optimization and Modeling of FPGA Circuitry in Advanced Process Technology by Charles Chiasson A thesis submitted in conformity with the requirements for the degree of Master of Applied Science Graduate

More information

Fine-Grained Architecture in Dark Silicon Era for SRAM-Based Reconfigurable Devices

Fine-Grained Architecture in Dark Silicon Era for SRAM-Based Reconfigurable Devices 1.119/TCSII.1.3591, IEEE Transactions on s and Systems II: Express Briefs 1 Fine-Grained Architecture in Dark Silicon Era for SRAM-Based Reconfigurable Devices Sadegh Yazdanshenas and Hossein Asadi, Member,

More information

Low Power Design for Systems on a Chip. Tutorial Outline

Low Power Design for Systems on a Chip. Tutorial Outline Low Power Design for Systems on a Chip Mary Jane Irwin Dept of CSE Penn State University (www.cse.psu.edu/~mji) Low Power Design for SoCs ASIC Tutorial Intro.1 Tutorial Outline Introduction and motivation

More information

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University

Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University Low-Power VLSI Seong-Ook Jung 2011. 5. 6. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical l & Electronic Engineering i Contents 1. Introduction 2. Power classification 3. Power

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Active Leakage Power Optimization for FPGAs

Active Leakage Power Optimization for FPGAs Active Leakage Power Optimization for FPGAs Jason H. Anderson,, Farid N. Najm, and Tim Tuan ECE Department, University of Toronto, Toronto, ON, Canada Xilinx Toronto Development Centre, Toronto, ON, Canada

More information

SHOULD FPGAS ABANDON THE PASS-GATE? Charles Chiasson and Vaughn Betz

SHOULD FPGAS ABANDON THE PASS-GATE? Charles Chiasson and Vaughn Betz SHOULD FPGAS ABANDON THE PASS-GATE? Charles Chiasson and Vaughn Betz Department of Electrical and Computer Engineering University of Toronto, Toronto, ON, Canada {charlesc,vaughn}@eecg.utoronto.ca ABSTRACT

More information

Chapter 1 Introduction

Chapter 1 Introduction Chapter 1 Introduction 1.1 Introduction There are many possible facts because of which the power efficiency is becoming important consideration. The most portable systems used in recent era, which are

More information

Methodologies for Tolerating Cell and Interconnect Faults in FPGAs

Methodologies for Tolerating Cell and Interconnect Faults in FPGAs IEEE TRANSACTIONS ON COMPUTERS, VOL. 47, NO. 1, JANUARY 1998 15 Methodologies for Tolerating Cell and Interconnect Faults in FPGAs Fran Hanchek, Member, IEEE, and Shantanu Dutt, Member, IEEE Abstract The

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

PROGRAMMABLE ASICs. Antifuse SRAM EPROM

PROGRAMMABLE ASICs. Antifuse SRAM EPROM PROGRAMMABLE ASICs FPGAs hold array of basic logic cells Basic cells configured using Programming Technologies Programming Technology determines basic cell and interconnect scheme Programming Technologies

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique

Total reduction of leakage power through combined effect of Sleep stack and variable body biasing technique Total reduction of leakage power through combined effect of Sleep and variable body biasing technique Anjana R 1, Ajay kumar somkuwar 2 Abstract Leakage power consumption has become a major concern for

More information

White Paper Stratix III Programmable Power

White Paper Stratix III Programmable Power Introduction White Paper Stratix III Programmable Power Traditionally, digital logic has not consumed significant static power, but this has changed with very small process nodes. Leakage current in digital

More information

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders

EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3. EECS 427 F09 Lecture Reminders EECS 427 Lecture 13: Leakage Power Reduction Readings: 6.4.2, CBF Ch.3 [Partly adapted from Irwin and Narayanan, and Nikolic] 1 Reminders CAD assignments Please submit CAD5 by tomorrow noon CAD6 is due

More information

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique

Low Power Realization of Subthreshold Digital Logic Circuits using Body Bias Technique Indian Journal of Science and Technology, Vol 9(5), DOI: 1017485/ijst/2016/v9i5/87178, Februaru 2016 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 Low Power Realization of Subthreshold Digital Logic

More information

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate

Preface to Third Edition Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Preface to Third Edition p. xiii Deep Submicron Digital IC Design p. 1 Introduction p. 1 Brief History of IC Industry p. 3 Review of Digital Logic Gate Design p. 6 Basic Logic Functions p. 6 Implementation

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

New Approaches to Total Power Reduction Including Runtime Leakage. Leakage

New Approaches to Total Power Reduction Including Runtime Leakage. Leakage 1 0 0 % 8 0 % 6 0 % 4 0 % 2 0 % 0 % - 2 0 % - 4 0 % - 6 0 % New Approaches to Total Power Reduction Including Runtime Leakage Dennis Sylvester University of Michigan, Ann Arbor Electrical Engineering and

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

PE713 FPGA Based System Design

PE713 FPGA Based System Design PE713 FPGA Based System Design Why VLSI? Dept. of EEE, Amrita School of Engineering Why ICs? Dept. of EEE, Amrita School of Engineering IC Classification ANALOG (OR LINEAR) ICs produce, amplify, or respond

More information

FPGA Routing Architecture Analysis Under Variations

FPGA Routing Architecture Analysis Under Variations FPGA Routing Architecture Analysis Under Variations Suresh Srinivasan, Prasanth Mangalagiri, Yuan Xie, N. Vijaykrishnan Department of Computer Science and Engineering, Pennsylvania State University, PA

More information

ISSN:

ISSN: 1061 Area Leakage Power and delay Optimization BY Switched High V TH Logic UDAY PANWAR 1, KAVITA KHARE 2 12 Department of Electronics and Communication Engineering, MANIT, Bhopal 1 panwaruday1@gmail.com,

More information

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS

A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS http:// A NEW APPROACH FOR DELAY AND LEAKAGE POWER REDUCTION IN CMOS VLSI CIRCUITS Ruchiyata Singh 1, A.S.M. Tripathi 2 1,2 Department of Electronics and Communication Engineering, Mangalayatan University

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

A Low-Power SRAM Design Using Quiet-Bitline Architecture

A Low-Power SRAM Design Using Quiet-Bitline Architecture A Low-Power SRAM Design Using uiet-bitline Architecture Shin-Pao Cheng Shi-Yu Huang Electrical Engineering Department National Tsing-Hua University, Taiwan Abstract This paper presents a low-power SRAM

More information

NanoFabrics: : Spatial Computing Using Molecular Electronics

NanoFabrics: : Spatial Computing Using Molecular Electronics NanoFabrics: : Spatial Computing Using Molecular Electronics Seth Copen Goldstein and Mihai Budiu Computer Architecture, 2001. Proceedings. 28th Annual International Symposium on 30 June-4 4 July 2001

More information

Low Power System-On-Chip-Design Chapter 12: Physical Libraries

Low Power System-On-Chip-Design Chapter 12: Physical Libraries 1 Low Power System-On-Chip-Design Chapter 12: Physical Libraries Friedemann Wesner 2 Outline Standard Cell Libraries Modeling of Standard Cell Libraries Isolation Cells Level Shifters Memories Power Gating

More information

Static Energy Reduction Techniques in Microprocessor Caches

Static Energy Reduction Techniques in Microprocessor Caches Static Energy Reduction Techniques in Microprocessor Caches Heather Hanson, Stephen W. Keckler, Doug Burger Computer Architecture and Technology Laboratory Department of Computer Sciences Tech Report TR2001-18

More information

Leakage Diminution of Adder through Novel Ultra Power Gating Technique

Leakage Diminution of Adder through Novel Ultra Power Gating Technique Leakage Diminution of Adder through Novel Ultra Power Gating Technique Aushi Marwah; Prof. Meenakshi Mishra ShriRam College of Engineering & Management, Banmore Abstract: Technology scaling helps us to

More information

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Anjana R 1, Dr. Ajay kumar somkuwar 2 1 Asst.Prof & ECE, Laxmi Institute of Technology, Gujarat 2 Professor

More information

Minimum Energy CMOS Design with Dual Subthreshold Supply and Multiple Logic-Level Gates

Minimum Energy CMOS Design with Dual Subthreshold Supply and Multiple Logic-Level Gates Minimum Energy CMOS Design with Dual Subthreshold Supply and Multiple Logic-Level Gates Kyungseok Kim and Vishwani D. Agrawal Department of ECE, Auburn University, Auburn, AL 36849, USA kyungkim@auburn.edu,

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 32-37 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Dual Stack Sleep Technique for Reactivation Noise suppression

More information

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks

Logic Restructuring Revisited. Glitching in an RCA. Glitching in Static CMOS Networks Logic Restructuring Revisited Low Power VLSI System Design Lectures 4 & 5: Logic-Level Power Optimization Prof. R. Iris ahar September 8 &, 7 Logic restructuring: hanging the topology of a logic network

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

FPGA Device and Architecture Evaluation Considering Process Variations

FPGA Device and Architecture Evaluation Considering Process Variations FPGA Device and Architecture Evaluation Considering Process Variations Ho-Yan Wong, Lerong Cheng, Yan Lin, Lei He Electrical Engineering Department University of California, Los Angeles ABSTRACT Process

More information

POWER ESTIMATION FOR FIELD PROGRAMMABLE GATE ARRAYS. Kara Ka Wing Poon B.A.Sc, University of British Columbia, 1999

POWER ESTIMATION FOR FIELD PROGRAMMABLE GATE ARRAYS. Kara Ka Wing Poon B.A.Sc, University of British Columbia, 1999 POWER ESTIMATION FOR FIELD PROGRAMMABLE GATE ARRAYS by Kara Ka Wing Poon B.A.Sc, University of British Columbia, 999 A thesis submitted in partial fulfillment of the requirements for the degree of Master

More information

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies

Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-130nm CMOS Technologies Leakage Control Techniques for Designing Robust, Low Power Wide-OR Domino Logic for Sub-30nm CMOS Technologies Bhaskar Chatterjee, Manoj Sachdev Ram Krishnamurthy * Department of Electrical and Computer

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

Engr354: Digital Logic Circuits

Engr354: Digital Logic Circuits Engr354: Digital Logic Circuits Chapter 3: Implementation Technology Curtis Nelson Chapter 3 Overview In this chapter you will learn about: How transistors are used as switches; Integrated circuit technology;

More information

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT Kaushal Kumar Nigam 1, Ashok Tiwari 2 Department of Electronics Sciences, University of Delhi, New Delhi 110005, India 1 Department of Electronic

More information

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering

Reference. Wayne Wolf, FPGA-Based System Design Pearson Education, N Krishna Prakash,, Amrita School of Engineering FPGA Fabrics Reference Wayne Wolf, FPGA-Based System Design Pearson Education, 2004 CPLD / FPGA CPLD Interconnection of several PLD blocks with Programmable interconnect on a single chip Logic blocks executes

More information

PROGRAMMABLE ASIC INTERCONNECT

PROGRAMMABLE ASIC INTERCONNECT PROGRAMMABLE ASIC INTERCONNECT The structure and complexity of the interconnect is largely determined by the programming technology and the architecture of the basic logic cell The first programmable ASICs

More information

An Implementation of a 32-bit ARM Processor Using Dual Power Supplies and Dual Threshold Voltages

An Implementation of a 32-bit ARM Processor Using Dual Power Supplies and Dual Threshold Voltages An Implementation of a 32-bit ARM Processor Using Dual Supplies and Dual Threshold Voltages Robert Bai, Sarvesh Kulkarni, Wesley Kwong, Ashish Srivastava, Dennis Sylvester, David Blaauw University of Michigan,

More information

Digital Design and System Implementation. Overview of Physical Implementations

Digital Design and System Implementation. Overview of Physical Implementations Digital Design and System Implementation Overview of Physical Implementations CMOS devices CMOS transistor circuit functional behavior Basic logic gates Transmission gates Tri-state buffers Flip-flops

More information

Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE

Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE Abstract Employing

More information

Alexander Danilin, Martijn Bennebroek, and Sergei Sawitzki. A Novel Routing Architecture for Field-Programmable Gate-Arrays

Alexander Danilin, Martijn Bennebroek, and Sergei Sawitzki. A Novel Routing Architecture for Field-Programmable Gate-Arrays A Novel Routing Architecture for Field-Programmable Gate-Arrays Alexander Danilin, Martijn Bennebroek, and Sergei Sawitzki A Novel Routing Architecture for Field-Programmable Gate-Arrays February 27, 2008

More information

Jan Rabaey, «Low Powere Design Essentials," Springer tml

Jan Rabaey, «Low Powere Design Essentials, Springer tml Jan Rabaey, «e Design Essentials," Springer 2009 http://web.me.com/janrabaey/lowpoweressentials/home.h tml Dimitrios Soudris, Christian Piguet, and Costas Goutis, Designing CMOS Circuits for Low POwer,

More information

Leakage Current Analysis

Leakage Current Analysis Current Analysis Hao Chen, Latriese Jackson, and Benjamin Choo ECE632 Fall 27 University of Virginia , , @virginia.edu Abstract Several common leakage current reduction methods such

More information

Low-Power Multipliers with Data Wordlength Reduction

Low-Power Multipliers with Data Wordlength Reduction Low-Power Multipliers with Data Wordlength Reduction Kyungtae Han, Brian L. Evans, and Earl E. Swartzlander, Jr. Dept. of Electrical and Computer Engineering The University of Texas at Austin Austin, TX

More information

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment

Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-V t and Dual-T ox Assignment Behnam Amelifard Department of EE-Systems University of Southern California Los Angeles, CA (213)

More information

Low Power Techniques for SoC Design: basic concepts and techniques

Low Power Techniques for SoC Design: basic concepts and techniques Low Power Techniques for SoC Design: basic concepts and techniques Estagiário de Docência M.Sc. Vinícius dos Santos Livramento Prof. Dr. Luiz Cláudio Villar dos Santos Embedded Systems - INE 5439 Federal

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2

LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 LOW POWER VLSI TECHNIQUES FOR PORTABLE DEVICES Sandeep Singh 1, Neeraj Gupta 2, Rashmi Gupta 2 1 M.Tech Student, Amity School of Engineering & Technology, India 2 Assistant Professor, Amity School of Engineering

More information

Power Optimization and Prediction Techniques for FPGAs

Power Optimization and Prediction Techniques for FPGAs Power Optimization and Prediction Techniques for FPGAs by Jason Helge Anderson A thesis submitted in conformity with the requirements for the degree of Doctor of Philosophy Department of Electrical and

More information

Improved DFT for Testing Power Switches

Improved DFT for Testing Power Switches Improved DFT for Testing Power Switches Saqib Khursheed, Sheng Yang, Bashir M. Al-Hashimi, Xiaoyu Huang School of Electronics and Computer Science University of Southampton, UK. Email: {ssk, sy8r, bmah,

More information

Lecture 1. Tinoosh Mohsenin

Lecture 1. Tinoosh Mohsenin Lecture 1 Tinoosh Mohsenin Today Administrative items Syllabus and course overview Digital systems and optimization overview 2 Course Communication Email Urgent announcements Web page http://www.csee.umbc.edu/~tinoosh/cmpe650/

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

Novel Devices and Circuits for Computing

Novel Devices and Circuits for Computing Novel Devices and Circuits for Computing UCSB 594BB Winter 2013 Lecture 7: CMOL Outline CMOL Main idea 3D CMOL CMOL memory CMOL logic General purporse Threshold logic Pattern matching Hybrid CMOS/Memristor

More information

Investigating Delay-Power Tradeoff in Kogge-Stone Adder in Standby Mode and Active Mode

Investigating Delay-Power Tradeoff in Kogge-Stone Adder in Standby Mode and Active Mode Investigating Delay-Power Tradeoff in Kogge-Stone Adder in Standby Mode and Active Mode Design Review 2, VLSI Design ECE6332 Sadredini Luonan wang November 11, 2014 1. Research In this design review, we

More information

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems

EDA Challenges for Low Power Design. Anand Iyer, Cadence Design Systems EDA Challenges for Low Power Design Anand Iyer, Cadence Design Systems Agenda Introduction ti LP techniques in detail Challenges to low power techniques Guidelines for choosing various techniques Why is

More information

COFFE: Fully-Automated Transistor Sizing for FPGAs

COFFE: Fully-Automated Transistor Sizing for FPGAs COFFE: Fully-Automated Transistor Sizing for FPGAs Charles Chiasson and Vaughn Betz Department of Electrical and Computer Engineering University of Toronto, Toronto, ON, Canada {charlesc,vaughn}@eecg.utoronto.ca

More information

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop

A High Performance Asynchronous Counter using Area and Power Efficient GDI T-Flip Flop Indian Journal of Science and Technology, Vol 8(7), 622 628, April 2015 ISSN (Print) : 0974-6846 ISSN (Online) : 0974-5645 DOI: 10.17485/ijst/2015/v8i7/62847 A High Performance Asynchronous Counter using

More information

FIELD-PROGRAMMABLE gate array (FPGA) chips

FIELD-PROGRAMMABLE gate array (FPGA) chips IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 54, NO. 11, NOVEMBER 2007 2489 3-D nfpga: A Reconfigurable Architecture for 3-D CMOS/Nanomaterial Hybrid Digital Circuits Chen Dong, Deming

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.5.577 ISSN(Online) 2233-4866 Low and High Performance Level-up Shifters

More information

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies

A High Performance IDDQ Testable Cache for Scaled CMOS Technologies A High Performance IDDQ Testable Cache for Scaled CMOS Technologies Swarup Bhunia, Hai Li and Kaushik Roy Purdue University, 1285 EE Building, West Lafayette, IN 4796 {bhunias, hl, kaushik}@ecn.purdue.edu

More information

Design of a Tri-modal Multi-Threshold CMOS Switch with Application to Data Retentive Power Gating

Design of a Tri-modal Multi-Threshold CMOS Switch with Application to Data Retentive Power Gating Design of a Tri-modal Multi-Threshold CMOS Switch with Application to Data Retentive Power Gating Ehsan Pakbaznia, Student Member, and Massoud Pedram, Fellow, IEEE Abstract A tri-modal Multi-Threshold

More information