Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE

Size: px
Start display at page:

Download "Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE"

Transcription

1 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS 1 Low Power and High Speed Multi Threshold Voltage Interface Circuits Sherif A. Tawfik and Volkan Kursun, Member, IEEE Abstract Employing multiple supply voltages (multi- DD) is an effective technique for reducing the power consumption without sacrificing speed in an integrated circuit (IC). In order to transfer signals among the circuits operating at different voltage levels specialized voltage interface circuits are required. Two novel multithreshold voltage (multi- th) level converters are proposed in this paper. The new multi- th level converters are compared with the previously published circuits for operation at different supply voltages. When the circuits are individually optimized for minimum power consumption, the proposed level converters offer significant power savings of up to 70% as compared to the previously published circuits. Alternatively, when the circuits are individually optimized for minimum propagation delay, the speed is enhanced by up to 78% with the proposed voltage interface circuits in a m TSMC CMOS technology. Index Terms High-performance, multiple supply voltages, multiple threshold voltages, parameter variations, power efficiency, voltage level converters. I. INTRODUCTION T ECHNOLOGY scaling is the main thrust behind the advancement of CMOS technology. More and faster transistors are crammed onto integrated circuits with each new technology generation. The increased number of transistors and the enhanced clock frequency lead to a significant increase in the power consumption with each new technology generation. Furthermore, deviation from the constant field scaling due to the non-scaling parameters of the MOS transistors (the thermal voltage, the silicon energy band gap, and the source/drain doping levels) leads to an increase in the power density. The higher power dissipation coupled with the imbalanced utilization and the diversity of circuitry elevates the temperature and produces local hot-spots across a die [1], [3]. The increased power dissipation degrades the reliability, increases the cost of the packaging and cooling system, and lowers the battery lifetime in portable electronic devices. An effective method for reducing the power consumption is scaling the supply voltage. Dynamic, short-circuit, and leakage components of power consumption are simultaneously reduced with the scaling of the supply voltage in a CMOS circuit. Lowering the supply voltage, however, also degrades Manuscript received December 07, 2007; revised March 09, S. Tawfik is with the Department of Electrical and Computer Engineering, University of Wisconsin-Madison, Madison, WI USA ( tawfik@wisc.edu). V. Kursun is with the Department of Electronic and Computer Engineering, The Hong Kong University of Science and Technology, Clear Water Bay, Kowloon, Hong Kong. Digital Object Identifier /TVLSI the circuit speed. The multi- circuit technique exploits the delay differences among the different signal propagation paths within an integrated circuit (IC) [1], [5]. The supply voltages of the gates on the noncritical delay paths are selectively lowered while a higher supply voltage is maintained on the critical delay paths in order to satisfy a target clock frequency in a multicircuit. Similarly, in systems-on-chips (SoCs), different circuits operating at different supply voltages exist [11]. When a low voltage swing signal drives a CMOS gate connected to a higher supply voltage, static dc power is consumed as the transistors in the pull-up and the pull-down networks are simultaneously turned on [1]. Furthermore, the output voltage swing of the receiver degrades, thereby leading to a static dc current in the fan-out gates of the receiver. In order to transfer signals among these circuits operating at different voltage levels, specialized voltage interface circuits are required. Level converters impose additional power consumption and propagation delay overhead in a multi- system. High-speed and lowpower voltage interfacing is critical for effective power reduction with minimum effect on speed in a multi- IC. Several factors such as the path propagation delay statistics, the power and delay overhead of the level converters, and the availability and efficiency of the different power supplies determine the choice of the supply voltages in a multi- system [5] [10]. The number and the voltages of the multiple power supplies therefore vary with the type of the IC and the target set of applications. In this paper, a wide range of supply voltages are considered in order to address the speed, power, and area tradeoffs in the design of voltage level conversion circuits. The previously published level converters rely on some form of feedback circuitry for controlling the operation of the pull-up network transistors in order to avoid static dc current within the level converter. These circuits, however, suffer from significant amount of short-circuit current and degraded speed characteristics due to the typically slow response of the feedback circuitry. Furthermore, to achieve functionality with a very low voltage transmitter, transistor resizing (significant increase in the device widths) is required in these feedback-based level converters, thereby further increasing the power consumption and the propagation delay. In this paper, two novel level converters based on a multithreshold voltage CMOS technology are presented. Unlike the conventional level conversion techniques based on feedback, the proposed level converters eliminate the static dc current using multi- devices. The new level converters are compared with two previously published feedback-based level converters for different supply voltages. The effectiveness of the proposed circuits for reducing power consumption, propagation delay, and /$ IEEE

2 2 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS area is evaluated at scaled supply voltages down to the subthreshold regime. The paper is organized as follows. The operation of the proposed level converters is described in Section II. The power consumption and the propagation delay characteristics of the level converters at the nominal process corner and under parameter variations are presented in Section III. Finally, some conclusions are provided in Section IV. II. LEVEL CONVERTERS In this section various level conversion techniques are described. The issues related to the standard feedback-based level converters are discussed in Section II-A. Two new level converters based on a multi- CMOS technology are presented in Section II-B. A. Feedback-Based Level Converters The conventional feedback-based level converters are discussed in this section. When a low swing signal directly drives a gate that is connected to a higher supply voltage, the pull-up network of the receiver cannot be fully turned off. A receiver driven by a low voltage swing signal therefore produces static dc current. In order to suppress this dc current, specialized voltage interface circuits are employed between a low voltage driver and a full voltage swing receiver [2], [4] [10]. In the standard feedback-based voltage interface circuits, the pull-up network transistors are not directly driven by the low voltage swing signal provided by the driver. The operation of the pull-up network transistors is controlled by an internal feedback mechanism isolated from the low voltage swing input signal, thereby avoiding the formation of static dc current paths within the circuit. These traditional level converters, however, suffer from high short-circuit power and long propagation delay due to the typically slow response of the internal feedback circuitry that controls the operation of the pull-up transistors. Furthermore, the pull-down network transistors in these circuits are driven by low voltage swing signals unlike the pull-up network transistors that receive higher gate overdrive voltages from the full-voltage swing feedback paths. Particularly, at very low input voltages, the widths of the transistors that are directly driven by the low-swing signals need to be significantly increased in order to balance the strength of the pull-up and the pull-down networks. This causes further degradation in the speed and the power efficiency of the conventional level converters when utilized with very low input voltages. The standard feedback-based level converter (LC1) [2] is shown in Fig. 1. and experience a low gate overdrive voltage during the operation of the circuit. and need to be sized larger to produce more current as compared to and, respectively, for functionality. The circuit operates as follows. When the input is at 0 V is turned off. is charged to. is turned on. is discharged to 0 V turning on. is charged to turning off. The output is pulled down to 0 V. When the input transitions to, is turned on. is discharged, turning off. is discharged, turning on. is charged up to turning off. The output Fig. 1. Standard level converter (LC1) presented in [2]. V is the lower supply voltage. V is the higher supply voltage. transitions to. A feedback loop, isolated from the input, controls the operation of and during both transitions of the output. Due to the transitory contention between the pull-up and the pull-down networks and the large size of the nmos transistors ( and ), however, LC1 consumes significant short-circuit and dynamic switching power. To maintain functionality with the lower values of, the sizes of and need to be further increased in order compensate for the gate overdrive degradation. The load seen by the previous stage (driver circuit) is therefore increased, thereby further degrading the speed and increasing the power consumption. Tapered buffers are required to drive and at very low voltages. These tapered buffers further increase the power consumption of LC1. Another level converter (LC2) is presented in [4] for enhanced speed as compared to LC1. LC2 is shown in Fig. 2. maintains the voltage of between and in order to enhance the current produced by. The capacitor 8fF stabilizes the voltage of against the noise induced by the nearby switching events. The circuit operates as follows. When the input is at 0 V, is discharged through. is turned on. is turned off. is charged to, turning off. The output is discharged to 0 V. When the input transitions to, is turned on. is initially charged to a voltage between and through. is not completely cutoff (weakly active). is sized to be stronger than for the circuit to function properly. is discharged, turning on. is charged all the way up to, thereby eventually turning off. The output transitions to. When the input switches from 0 V to there is a direct current path from to through the path. This direct current path exists until is charged to through and. Similarly, when the input switches from to 0 V, there is a direct current path from to through the path. This direct current path exists until is pulled up to and is turned off. LC2 therefore consumes significant short-circuit power, similar to LC1, during both low-to-high and high-to-low transitions of the output. Furthermore, when is reduced, a significant increase in the size of is required for maintaining functionality. The load seen by the driver circuit therefore increases at lower. Tapered buffers are required for driving LC2 at

3 TAWFIK AND KURSUN: LOW POWER AND HIGH SPEED MULTI THRESHOLD VOLTAGE INTERFACE CIRCUITS 3 Fig. 2. Level converter (LC2) presented in [4]. be more negative (higher- ) in order to suppress the static dc current. Provided that a multi- CMOS technology is available, no increase in the size of is required for achieving functionality at lower input voltages with the proposed circuit (unlike LC1 and LC2). Therefore, particularly for the very low values of, PC1 consumes lower power, occupies significantly smaller area, and imposes a much smaller load capacitance on the input driver as compared to LC1 and LC2. The circuit configurations of the second proposed level converter (PC2) for operation at different supply voltages are shown in Fig. 4. is required to be higher than for eliminating the static dc current when the input is low ( is at ). needs to be cutoff after a 1 is successfully propagated to the output (the input is at and the output is at ) in order to avoid the formation of a static dc current path between and though. The peripheral circuitry composed of,, and C, shown in Fig. 4(a), is employed to maintain the voltage in the range of in order to enhance the speed of charge transfer through while avoiding the formation of a static dc current path within the level converter. maintains the voltage of at provided that (1) (2) Fig. 3. First proposed level converter (PC1). Thick line in the channel area indicates a high-v device. very low voltages. These tapered input drivers further increase the power consumption of LC2. B. Multi- Level Converters Two new multi- level converters are described in this section. Unlike the previously published level converters that rely on feedback, the proposed level converters employ a multi- CMOS technology in order to eliminate the static dc current. The high threshold voltage pull-up network transistors in the new level converters are directly driven by the low-swing signals without producing a static dc current problem. The first proposed level converter (PC1) is shown in Fig. 3. PC1 is composed of two cascaded inverters with dual- transistors. The threshold voltage of is more negative (higher ) for avoiding static dc current in the first inverter when the input is at. is required to be higher than for eliminating the static dc current. PC1 operates as follows. When the input is at 0 V, is turned on. is cutoff. is pulled up to. The output is discharged to 0 V. When the input transitions to, is turned on. is turned off since. is discharged to 0 V. The output is charged to. PC1 has fewer transistors as compared LC1 and LC2. Furthermore, the elimination of the slow feedback circuitry reduces the short-circuit power of PC1 as compared to LC1 and LC2. For the lower values of, the threshold voltage of M2 needs to If (3) is satisfied, is maintained cutoff under normal operating conditions with no external noise coupling onto. The purpose of is to provide a discharge path for if the voltage on temporarily exceeds due to nearby switching events and crosstalk. The capacitor 6fF stabilizes the voltage of against the noise induced by the nearby switching events. The value of the capacitor is determined by circuit simulation such that the voltage of does not vary by more than 10% due to the coupling noise generated from within the level converter by the switching input signal. The capacitor is implemented by a MOSFET. If, however, (3) is not satisfied for the very low values of, a dc current path exists between and through and. In order to avoid a static dc current path within the level converter,, and the capacitor C are eliminated and is directly connected to for the voltages that do not satisfy (3), as shown in Fig. 4(b). Similarly, if (1) is not satisfied for certain values of and, is directly connected to, eliminating the need for,, and C as shown in Fig. 4(b). PC2 operates as follows. When the input is at 0 V, is pulled high to turning off (note that has a high- ). The output node is discharged to 0 V through the pass transistor. When the input transitions to, the output node is initially charged to and through with the circuit configurations shown in Fig. 4(a) and (b), respectively. is turned on after the high-to-low propagation delay of the inverter. The (3)

4 4 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS Fig. 5. Simulation setup for characterizing the level converters. Power is measured for the entire test circuit including the driver and the load inverters. Delay is measured from the input of the driver inverter (I ) to Node. and the target application. The simulations are carried out for the following values of : 0.5, 1, and 1.2 V. The standard nominal supply voltage is 1.8 V in this m CMOS technology. All the transistors of LC1 and LC2 have nominal-. Comparison between the level converters at the nominal process corner is presented in Section III-A. The characteristics of the level converters under supply voltage and process parameter variations are given in Section III-B. The superiority of the proposed multi- circuits for achieving higher-speed and lower-power voltage level conversion is confirmed in Section III-C for a wide range of available threshold voltages. Fig. 4. Second proposed level converter (PC2). Thick line in the channel area indicates a high-v device. (a) Circuit configuration for V and V that satisfy both (1) and (3). (b) Circuit configuration for the supply voltages that do not satisfy either (1) or (3). output is pulled high all the way up to through. is turned off isolating the two power supplies. Both and assist the output low-to-high transition, thereby eliminating the contention current and enhancing the low-to-high propagation speed. The small transistor count and the elimination of the feedback reduce the power consumption of the proposed level converter as compared to LC1 and LC2. Furthermore, the speed of PC2 is enhanced due to the shorter input-to-output signal propagation path (composed of only one pass transistor) and the elimination of the contention current during the output low-to-high transition. III. SPEED AND POWER CONSUMPTION CHARACTERISTICS In this section, the two new level converters are compared to the previously published standard feedback-based level converters for average power consumption and propagation delay. The available slacks in the propagation delay paths, the power consumption and delay overhead of the level converters, the availability of high efficiency power supplies, and the availability of a multi- CMOS technology with adequate threshold voltages are the important factors that determine the optimum supply voltages in a multi- system [2], [4] [10]. A wide range of lower supply voltages is considered in this paper since the factors that determine the desirable and feasible optimum supply voltages vary with the available technology A. Comparison at the Nominal Process Corner The level converters are characterized at the nominal process corner in this section. LC1 is redesigned for proper functionality at 0.5 V. and are driven by low-swing signals while M3 and M4 are biased with full-swing signals (see Fig. 1). At very low, the currents conducted by and are significantly reduced. and are resized for producing higher current as compared to and. Tapered inverters are employed in order to drive and after the resizing. The sizing of these tapered inverters is included in the optimization process. Similarly, LC2 is redesigned for proper functionality at 0.5 V. The size of is increased significantly for functionality with LC2 at 0.5 V (see Fig. 2). An inverter that is large enough for driving is used. The resizing of the new inverter is included in the optimization process. is removed to maintain the output polarity. At 0.5 V, the second configuration of PC2 shown in Fig. 4(b) is used since both (1) and (3) are violated. Two cascaded inverters are added at the output of PC2 before the load. The simulation setup is depicted in Fig. 5. The size of the driver and the load inverters are 4 the size of a minimum size inverter (minimum sized inverter:, and 2.5 W ). The temperature is 125 C. The activity factor of the input signal is 0.1 (a typical value for the logic core of an IC [4]). The propagation delay is measured from the input of to in order to include the loading effect of the level converter on the driver circuit when optimizing the level converter for minimum propagation delay. Reducing the sizes of the transistors in the level converter decreases the dynamic switching power consumption by lowering the switched capacitance. However, the level converter output rise and fall times are increased with the reduced size of the transistors, thereby increasing the short-circuit power consumption of the load. The average power consumption is measured for the whole circuit (including the power consumed by the driver and the load ) in order to evaluate the tradeoff between the dynamic

5 TAWFIK AND KURSUN: LOW POWER AND HIGH SPEED MULTI THRESHOLD VOLTAGE INTERFACE CIRCUITS 5 TABLE I TOTAL TRANSISTOR WIDTH (W), AVERAGE PROPAGATION DELAY (D), AND AVERAGE POWER CONSUMPTION (P) OF THE LEVEL CONVERTERS TABLE III NORMALIZED TOTAL TRANSISTOR WIDTH (W), AVERAGE PROPAGATION DELAY (D), AND AVERAGE POWER CONSUMPTION (P) OF THE LEVEL CONVERTERS TABLE II OPTIMUM THRESHOLD VOLTAGES WITH THE PROPOSED LEVEL CONVERTERS switching power consumption of the level converter and the short-circuit power consumption of the load. The circuits are optimized with two different design criteria for each value of. Minimizing the average power consumption and minimizing the average propagation delay are the goals of the first and the second sets of optimizations, respectively. The design and optimization of the circuits are carried out using HSPICE built-in optimizer in a m TSMC CMOS technology. The optimization results are listed in Table I. The optimum threshold voltages of and are listed in Table II for the proposed circuits at different input voltages and optimization goals. As described in Section II-B, the threshold voltage of is required to be higher than 0.6, 0.8, and 1.3 V for 1.2, 1, and 0.5 V, respectively, for both PC1 and PC2. Similarly, from (1) (3), the ranges of for 1.2 V and 1 V are 0.13 V 0.6 and 0.33 V 0.8 V, respectively. As listed in Table I, when the circuits are individually optimized for minimum power consumption, PC1 and PC2 consume lower power as compared to LC1 and LC2 for all values of. Alternatively, when the circuits are optimized for minimum average propagation delay, PC1 and PC2 are faster as compared to LC1 and LC2 for all values of. From this point on, the proposed circuits are compared only with LC2 since LC2 is faster and consumes lower power as compared to LC1. The normalized total transistor width, average propagation delay, and power consumption of LC2, PC1, and PC2 are listed in Table III. When the circuits are optimized for minimum power consumption, the power consumption of PC1 is 11% (3%), 13% (10%), and 58% (25%) lower as compared to LC2 (PC2) for 1.2, 1, and 0.5 V, respectively. When the circuits are optimized for minimum propagation delay, the propagation delay of PC2 is 41% (25%) and 22% (7%) lower as compared to LC2 (PC1) for 1.2 and 1 V, respectively. The propagation delay of PC1 is 70% (40%) lower as compared to LC2 (PC2) at 0.5 V. The total transistor width of PC1 is 54% to 96% (61% to 94%) smaller as compared to LC1 (LC2) for the various design objectives and values considered in this paper. B. Characterization Under Supply Voltage and Process Parameter Variations The robustness of the level converters is evaluated under process and supply voltage variations in this section. The channel length, the gate oxide thickness, the channel doping, and the supply voltages are assumed to have independent normal Gaussian distributions. Each parameter is assumed to have a three sigma variation of 10%. Monte Carlo simulations with 1500 samples are run to produce the statistical distributions of the propagation delay and the power consumption. The Monte Carlo simulation results are shown in Figs In the first phase of the analysis, LC2 and PC2, initially optimized for minimum propagation delay at 1.2 V at the nominal process corner and supply voltages, are characterized under supply voltage and process parameter variations. The mean of the propagation delay and the power consumption of PC2 are reduced by 40% and 14%, respectively, as compared to LC2 as shown in Fig. 6. The power consumption distributions of LC2 and PC2 intersect at 5.1 W. 85.4% of the statistical samples consume more than 5.1 W with LC2. Alternatively, with the proposed circuit PC2, 78.5% of the statistical samples consume less than 5.1 W, as illustrated in Fig. 6(b). In the second phase of analysis, LC2 and PC1, initially optimized for minimum power consumption at 1 V at the nominal process corner and supply voltages, are characterized

6 6 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS Fig. 6. Statistical delay and power distributions of PC2 and LC2. (a) Propagation delay. (b) Power consumption. The level converters (LC2 and PC2) are optimized for minimum propagation delay at V = 1.2 V. SD: standard deviation. under process parameter and supply voltages fluctuations. The mean of the propagation delay and the power consumption of PC1 are reduced by 10% and 13%, respectively, as compared to LC2 as shown in Fig. 7. The propagation delay distributions of LC2 and PC1 intersect at 210 ps. With LC2, the propagation delay of 90% of the statistical samples is longer than 210 ps. Alternatively, with PC1, the propagation delay of 83% of the statistical samples is shorter than 210 ps as shown in Fig. 7(a). The power consumption distributions of LC2 and PC1 intersect at 4.07 W. With LC2, 80% of the statistical samples consume more than Alternatively, with PC2, 81% of the statistical samples consume less than 4.07 W, as illustrated in Fig. 7(b). Finally, LC2 and PC1, initially optimized for minimum power consumption at 0.5 V at the nominal process corner and supply voltages, are characterized under process parameter and supply voltages fluctuations. The mean (standard deviation) of the propagation delay and the power consumption of PC1 are 71% (78%) and 59% (74%) lower, respectively, as compared to LC2 as shown in Fig. 8. C. Multi- CMOS Technology In a multi- CMOS technology, the available threshold voltages are limited to a few discrete values. The speed and power consumption characteristics of the proposed level converters are optimized over a wide range of threshold voltages in this section in order to assess the effectiveness of the proposed Fig. 7. Statistical delay and power distributions of PC1 and LC2. (a) Propagation delay. (b) Power consumption. The level converters (LC2 and PC1) are optimized for minimum power consumption at V = 1 V. SD: standard deviation. circuits with different CMOS technologies. The variations of the power consumption and the propagation delay with the threshold voltages are plotted in Figs for different. In Figs the lower limit of the PMOS threshold voltage is the nominal threshold voltage minus the difference between and. The upper limit of the pmos threshold voltage is determined as either the nominal threshold voltage or the value at which the optimized characteristic of the proposed circuit starts to degrade as compared to LC2 or when the circuit fails to function due to the reduced voltage swing of the output signal. As shown in Figs. 9 11, the proposed circuits maintain higher speed and lower power consumption characteristics as compared to LC1 and LC2 for a wide range of the available threshold voltages. The power and speed overhead of the level converters limit the amount of feasible voltage scaling in multi- systems. The power consumption and propagation delay overheads are significantly reduced with the proposed level converters as compared to the previously published standard feedback-based circuits. The new multi- level converters therefore allow further supply voltage scaling beyond the low voltages that would be permitted in a multi- system based on the standard feedback-based level converters. Furthermore, with technology scaling, the threshold voltages are scaled less aggressively as compared to the supply voltages. The implementation of the proposed feedback-free circuit techniques therefore becomes more feasible as the gap between the supply and threshold voltages tends to become narrower with technology scaling.

7 TAWFIK AND KURSUN: LOW POWER AND HIGH SPEED MULTI THRESHOLD VOLTAGE INTERFACE CIRCUITS 7 Fig. 10. Variations of the propagation delay and the power consumption of PC1 with the threshold voltage of M (V ) at V = 1 V. For each V, PC1 is reoptimized/resized to minimize the power consumption. Fig. 8. Statistical delay and power distributions of PC1 and LC2. (a) Propagation delay. (b) Power consumption. The level converters (LC2 and PC1) are optimized for minimum power consumption at V = 0.5 V. SD: standard deviation. Fig. 11. Variations of the propagation delay and the power consumption of PC1 with the threshold voltage of M (V ) at V = 0.5 V. For each V, PC1 is reoptimized/resized to minimize the power consumption. Fig. 9. Variations of the propagation delay and the power consumption of PC2 with the threshold voltage of M (V ) and M (V ) at V = 1.2 V. For each V, PC2 is reoptimized/resized to minimize the propagation delay. system. When the circuits are individually optimized for minimum power consumption in a m TSMC CMOS technology, the proposed level converters offer significant power savings of up to 70% as compared to the previously published circuits. Alternatively, when the circuits are individually optimized for minimum propagation delay, speed is enhanced by up to 78% with the proposed circuits. The proposed circuits maintain higher speed and lower power consumption characteristics as compared to the conventional feedback-based level converters for a wide range of available threshold voltages with different multi- CMOS technologies. IV. CONCLUSION In this paper, two novel level converters based on a multi- CMOS technology are proposed. Unlike the standard level converters based on feedback, the new circuits employ multitransistors in order to suppress the dc current paths in CMOS gates driven by low-swing input signals. The proposed level converters are compared with the previously published circuits for different values of the lower supply voltages in a multi- REFERENCES [1] V. Kursun and E. G. Friedman, Multi-Voltage CMOS Circuit Design. New York: Wiley, [2] K. Usami et al., Automated low-power technique exploiting multiple supply voltages applied to a media processor, IEEE J. Solid-State Circuits, vol. 33, no. 3, pp , Mar [3] Y. Taur and T. H. Ning, Fundamentals of Modern VLSI Devices. Cambridge, MA: Cambridge University Press, [4] S. H. Kulkarni and D. Sylvester, High performance level conversion for dual VDD design, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 12, no. 9, pp , Sep

8 8 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS [5] A. Srivastava and D. Sylvester, Minimizing total power by simultaneous Vdd/Vth Assignment, in Proc. IEEE Des. Autom. Conf., Jan. 2003, pp [6] S. H. Kulkarni, A. N. Srivastava, and D. Sylvester, A new algorithm for improved VDD assignment in low power dual VDD systems, in Proc. IEEE Int. Symp. Low Power Electron. Des., Aug. 2004, pp [7] F. Ishihara, F. Sheikh, and B. Nikolić, Level conversion for dualsupply systems, IEEE Trans. Very Large Scale Integr. (VLSI) Syst., vol. 12, no. 2, pp , Feb [8] V. Kursun, R. M. Secareanu, and E. G. Friedman, CMOS voltage interface circuit for low power systems, in Proc. IEEE Int. Symp. Circuits Syst., May 2002, vol. 3, pp [9] M. Takahashi et al., A 60-mW MPEG4 video codec using clustered voltage scaling with variable supply-voltage scheme, IEEE J. Solid- State Circuits, vol. 33, no. 11, pp , Nov [10] M. Hamada et al., A top-down low power design technique using clustered voltage scaling with variable supply-voltage scheme, in Proc. IEEE Custom Integr. Circuits Conf., May 1998, pp [11] D. E. Lackey et al., Managing power and performance for system-on-chip designs using voltage islands, in Proc. IEEE/ACM Int. Conf. Comput.Aided Des., Nov. 2002, pp Sherif A. Tawfik received the B.S. and M.S. degrees in electronics and communications engineering from Cairo University, Cairo, Egypt, in 2003 and 2005, respectively. He is currently pursuing the Ph.D. degree in electrical and computer engineering from the University of Wisconsin-Madison under the supervision of Prof. V. Kursun. His research interests include the area of low-power and variations-tolerant integrated circuit design and emerging integrated circuit technologies. He has more than 20 publications. Volkan Kursun (S 01 M 04) received the B.S. degree in electrical and electronics engineering from the Middle East Technical University, Ankara, Turkey, in 1999, and the M.S. and Ph.D. degrees in Electrical and Computer Engineering from the University of Rochester, Rochester, NY, in 2001 and 2004, respectively. He performed research on mixed-signal thermal inkjet integrated circuits with Xerox Corporation, Webster, NY, in During summers 2001 and 2002, he was with Intel Microprocessor Research Laboratories, Hillsboro, OR, where he was responsible for the modeling and design of high frequency monolithic power supplies. During summer 2008, he was a visiting Professor with the Chuo University, Tokyo, Japan. He served as an Assistant Professor with the Department of Electrical and Computer Engineering, University of Wisconsin-Madison, from August 2004 to August He has been an Assistant Professor with the Department of Electronic and Computer Engineering, Hong Kong University of Science and Technology, People s Republic of China, since August His current research interests include the areas of low voltage, low power, and high performance integrated circuit design, modeling of semiconductor devices, and emerging integrated circuit technologies. He has more than 80 publications and 4 issued and 2 pending patents in the areas of high performance integrated circuits and emerging semiconductor technologies. He is the author of the book Multi-Voltage CMOS Circuit Design (Wiley, 2006). Dr. Kursun serves on the technical program and organizing committees of the IEEE/ACM International Symposium on Low Power Electronics and Design (ISLPED), the ACM/SIGDA Great Lakes Symposium on VLSI (GLSVLSI), the IEEE International Symposium on Circuits and Systems (ISCAS), the IEEE/ACM Asia and South Pacific Design Automation Conference (ASPDAC), the IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), the IEEE/ACM International Symposium on Quality Electronic Design (ISQED), the IEEE/ACM Asia Symposium on Quality Electronic Design (ASQED), and the IEEE Asian Solid-State Circuits Conference (A-SSCC). He served on the editorial board of the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS PART II: EXPRESS BRIEFS from 2005 to He is an Associate Editor of the Journal of Circuits, Systems, and Computers (JCSC), the IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, and the IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS PART I: REGULAR PAPERS.

PROCESS and environment parameter variations in scaled

PROCESS and environment parameter variations in scaled 1078 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 10, OCTOBER 2006 Reversed Temperature-Dependent Propagation Delay Characteristics in Nanometer CMOS Circuits Ranjith Kumar

More information

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits

Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Microelectronics Journal 39 (2008) 1714 1727 www.elsevier.com/locate/mejo Temperature-adaptive voltage tuning for enhanced energy efficiency in ultra-low-voltage circuits Ranjith Kumar, Volkan Kursun Department

More information

Design of High Performance Arithmetic and Logic Circuits in DSM Technology

Design of High Performance Arithmetic and Logic Circuits in DSM Technology Design of High Performance Arithmetic and Logic Circuits in DSM Technology Salendra.Govindarajulu 1, Dr.T.Jayachandra Prasad 2, N.Ramanjaneyulu 3 1 Associate Professor, ECE, RGMCET, Nandyal, JNTU, A.P.Email:

More information

THE GROWTH of the portable electronics industry has

THE GROWTH of the portable electronics industry has IEEE POWER ELECTRONICS LETTERS 1 A Constant-Frequency Method for Improving Light-Load Efficiency in Synchronous Buck Converters Michael D. Mulligan, Bill Broach, and Thomas H. Lee Abstract The low-voltage

More information

IN RECENT years, low-dropout linear regulators (LDOs) are

IN RECENT years, low-dropout linear regulators (LDOs) are IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 9, SEPTEMBER 2005 563 Design of Low-Power Analog Drivers Based on Slew-Rate Enhancement Circuits for CMOS Low-Dropout Regulators

More information

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code:

Keywords : MTCMOS, CPFF, energy recycling, gated power, gated ground, sleep switch, sub threshold leakage. GJRE-F Classification : FOR Code: Global Journal of researches in engineering Electrical and electronics engineering Volume 12 Issue 3 Version 1.0 March 2012 Type: Double Blind Peer Reviewed International Research Journal Publisher: Global

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

SCALING power supply has become popular in lowpower

SCALING power supply has become popular in lowpower IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 1, JANUARY 2012 55 Design of a Subthreshold-Supply Bootstrapped CMOS Inverter Based on an Active Leakage-Current Reduction Technique

More information

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY

A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY A HIGH SPEED & LOW POWER 16T 1-BIT FULL ADDER CIRCUIT DESIGN BY USING MTCMOS TECHNIQUE IN 45nm TECHNOLOGY Jasbir kaur 1, Neeraj Singla 2 1 Assistant Professor, 2 PG Scholar Electronics and Communication

More information

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique

Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Design of low power SRAM Cell with combined effect of sleep stack and variable body bias technique Anjana R 1, Dr. Ajay kumar somkuwar 2 1 Asst.Prof & ECE, Laxmi Institute of Technology, Gujarat 2 Professor

More information

Analysis of Buck Converters for On-Chip Integration With a Dual Supply Voltage Microprocessor

Analysis of Buck Converters for On-Chip Integration With a Dual Supply Voltage Microprocessor 514 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 11, NO., JUNE 200 [7], On optimal board-level routing for FPGA-based logic emulation, IEEE Trans. Computer-Aided Design, vol.

More information

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit

Noise Tolerance Dynamic CMOS Logic Design with Current Mirror Circuit International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 77-81 International Research Publication House http://www.irphouse.com Noise Tolerance Dynamic CMOS Logic

More information

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting

A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting A High-Speed Variation-Tolerant Interconnect Technique for Sub-Threshold Circuits Using Capacitive Boosting Jonggab Kil Intel Corporation 1900 Prairie City Road Folsom, CA 95630 +1-916-356-9968 jonggab.kil@intel.com

More information

Domino Static Gates Final Design Report

Domino Static Gates Final Design Report Domino Static Gates Final Design Report Krishna Santhanam bstract Static circuit gates are the standard circuit devices used to build the major parts of digital circuits. Dynamic gates, such as domino

More information

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic

High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic High Speed Low Power Noise Tolerant Multiple Bit Adder Circuit Design Using Domino Logic M.Manikandan 2,Rajasri 2,A.Bharathi 3 Assistant Professor, IFET College of Engineering, Villupuram, india 1 M.E,

More information

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects

A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip Interconnects International Journal of Scientific and Research Publications, Volume 3, Issue 9, September 2013 1 A Comparative Study of Π and Split R-Π Model for the CMOS Driver Receiver Pair for Low Energy On-Chip

More information

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES

PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES PERFORMANCE ANALYSIS ON VARIOUS LOW POWER CMOS DIGITAL DESIGN TECHNIQUES R. C Ismail, S. A. Z Murad and M. N. M Isa School of Microelectronic Engineering, Universiti Malaysia Perlis, Arau, Perlis, Malaysia

More information

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool

Design and Analysis of Sram Cell for Reducing Leakage in Submicron Technologies Using Cadence Tool IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 2 Ver. II (Mar Apr. 2015), PP 52-57 www.iosrjournals.org Design and Analysis of

More information

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD

Low Power and High Performance Level-up Shifters for Mobile Devices with Multi-V DD JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.5, OCTOBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.5.577 ISSN(Online) 2233-4866 Low and High Performance Level-up Shifters

More information

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design

Sleepy Keeper Approach for Power Performance Tuning in VLSI Design International Journal of Electronics and Communication Engineering. ISSN 0974-2166 Volume 6, Number 1 (2013), pp. 17-28 International Research Publication House http://www.irphouse.com Sleepy Keeper Approach

More information

Power Efficient Level Shifter for 16 nm FinFET Near Threshold Circuits

Power Efficient Level Shifter for 16 nm FinFET Near Threshold Circuits 774 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 24, NO. 2, FEBRUARY 2016 Power Efficient Level Shifter for 16 nm FinFET Near Threshold Circuits Alexander Shapiro and Eby G. Friedman

More information

An energy efficient full adder cell for low voltage

An energy efficient full adder cell for low voltage An energy efficient full adder cell for low voltage Keivan Navi 1a), Mehrdad Maeen 2, and Omid Hashemipour 1 1 Faculty of Electrical and Computer Engineering of Shahid Beheshti University, GC, Tehran,

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information

Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications

Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications LETTER IEICE Electronics Express, Vol.12, No.3, 1 6 Dynamic-static hybrid near-threshold-voltage adder design for ultra-low power applications Xin-Xiang Lian 1, I-Chyn Wey 2a), Chien-Chang Peng 3, and

More information

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design

A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design A Literature Review on Leakage and Power Reduction Techniques in CMOS VLSI Design Anu Tonk Department of Electronics Engineering, YMCA University, Faridabad, Haryana tonkanu.saroha@gmail.com Shilpa Goyal

More information

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology

Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology Novel Buffer Design for Low Power and Less Delay in 45nm and 90nm Technology 1 Mahesha NB #1 #1 Lecturer Department of Electronics & Communication Engineering, Rai Technology University nbmahesh512@gmail.com

More information

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits

A Novel Dual Stack Sleep Technique for Reactivation Noise suppression in MTCMOS circuits IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 3, Issue 3 (Sep. Oct. 2013), PP 32-37 e-issn: 2319 4200, p-issn No. : 2319 4197 A Novel Dual Stack Sleep Technique for Reactivation Noise suppression

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

CMOS fast-settling time low pass filter associated with voltage reference and current limiter for low dropout regulator

CMOS fast-settling time low pass filter associated with voltage reference and current limiter for low dropout regulator CMOS fast-settling time low pass filter associated with voltage reference and current limiter for low dropout regulator Wonseok Oh a), Praveen Nadimpalli, and Dharma Kadam RF Micro Devices Inc., 6825 W.

More information

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique

Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique Low Power Design of Schmitt Trigger Based SRAM Cell Using NBTI Technique M.Padmaja 1, N.V.Maheswara Rao 2 Post Graduate Scholar, Gayatri Vidya Parishad College of Engineering for Women, Affiliated to JNTU,

More information

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India

Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Advanced Low Power CMOS Design to Reduce Power Consumption in CMOS Circuit for VLSI Design Pramoda N V Department of Electronics and Communication Engineering, MCE Hassan Karnataka India Abstract: Low

More information

Low-Power Digital CMOS Design: A Survey

Low-Power Digital CMOS Design: A Survey Low-Power Digital CMOS Design: A Survey Krister Landernäs June 4, 2005 Department of Computer Science and Electronics, Mälardalen University Abstract The aim of this document is to provide the reader with

More information

A STUDY OF LOW TO HIGH SWING CONVERTERS FOR ON-CHIP INTERCONNECTS IN CMOS VOLTAGE INTERFACE CICUITS

A STUDY OF LOW TO HIGH SWING CONVERTERS FOR ON-CHIP INTERCONNECTS IN CMOS VOLTAGE INTERFACE CICUITS A STUDY OF LOW TO HIGH SWING CONVERTERS FOR ON-CHIP INTERCONNECTS IN CMOS VOLTAGE INTERFACE CICUITS Neeraj saini 1, Deepak kedia 2 1 M.Tech, VLSI Design & Embedded system, 2 Department of Electronics and

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Investigation on Performance of high speed CMOS Full adder Circuits

Investigation on Performance of high speed CMOS Full adder Circuits ISSN (O): 2349-7084 International Journal of Computer Engineering In Research Trends Available online at: www.ijcert.org Investigation on Performance of high speed CMOS Full adder Circuits 1 KATTUPALLI

More information

A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE

A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE A DUAL-EDGED TRIGGERED EXPLICIT-PULSED LEVEL CONVERTING FLIP-FLOP WITH A WIDE OPERATION RANGE Mei-Wei Chen 1, Ming-Hung Chang 1, Pei-Chen Wu 1, Yi-Ping Kuo 1, Chun-Lin Yang 1, Yuan-Hua Chu 2, and Wei Hwang

More information

LOW-POWER design is one of the most critical issues

LOW-POWER design is one of the most critical issues 176 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 54, NO. 2, FEBRUARY 2007 A Novel Low-Power Logic Circuit Design Scheme Janusz A. Starzyk, Senior Member, IEEE, and Haibo He, Member,

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS

CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS 70 CHAPTER 5 DESIGN AND ANALYSIS OF COMPLEMENTARY PASS- TRANSISTOR WITH ASYNCHRONOUS ADIABATIC LOGIC CIRCUITS A novel approach of full adder and multipliers circuits using Complementary Pass Transistor

More information

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY

LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY LEAKAGE POWER REDUCTION IN CMOS CIRCUITS USING LEAKAGE CONTROL TRANSISTOR TECHNIQUE IN NANOSCALE TECHNOLOGY B. DILIP 1, P. SURYA PRASAD 2 & R. S. G. BHAVANI 3 1&2 Dept. of ECE, MVGR college of Engineering,

More information

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS

ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS ESTIMATION OF LEAKAGE POWER IN CMOS DIGITAL CIRCUIT STACKS #1 MADDELA SURENDER-M.Tech Student #2 LOKULA BABITHA-Assistant Professor #3 U.GNANESHWARA CHARY-Assistant Professor Dept of ECE, B. V.Raju Institute

More information

AS THE semiconductor process is scaled down, the thickness

AS THE semiconductor process is scaled down, the thickness IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 7, JULY 2005 361 A New Schmitt Trigger Circuit in a 0.13-m 1/2.5-V CMOS Process to Receive 3.3-V Input Signals Shih-Lun Chen,

More information

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ

Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Robust Ultra-Low Power Sub-threshold DTMOS Logic Λ Hendrawan Soeleman, Kaushik Roy, and Bipul Paul Purdue University Department of Electrical and Computer Engineering West Lafayette, IN 797, USA fsoeleman,

More information

BICMOS Technology and Fabrication

BICMOS Technology and Fabrication 12-1 BICMOS Technology and Fabrication 12-2 Combines Bipolar and CMOS transistors in a single integrated circuit By retaining benefits of bipolar and CMOS, BiCMOS is able to achieve VLSI circuits with

More information

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116

ISSN: [Kumar* et al., 6(5): May, 2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IMPROVEMENT IN NOISE AND DELAY IN DOMINO CMOS LOGIC CIRCUIT Ankit Kumar*, Dr. A.K. Gautam * Student, M.Tech. (ECE), S.D. College

More information

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage

Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Low Power High Performance 10T Full Adder for Low Voltage CMOS Technology Using Dual Threshold Voltage Surbhi Kushwah 1, Shipra Mishra 2 1 M.Tech. VLSI Design, NITM College Gwalior M.P. India 474001 2

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME

NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME NOVEL OSCILLATORS IN SUBTHRESHOLD REGIME Neeta Pandey 1, Kirti Gupta 2, Rajeshwari Pandey 3, Rishi Pandey 4, Tanvi Mittal 5 1, 2,3,4,5 Department of Electronics and Communication Engineering, Delhi Technological

More information

NOWADAYS, multistage amplifiers are growing in demand

NOWADAYS, multistage amplifiers are growing in demand 1690 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 9, SEPTEMBER 2004 Advances in Active-Feedback Frequency Compensation With Power Optimization and Transient Improvement Hoi

More information

Leakage Power Reduction by Using Sleep Methods

Leakage Power Reduction by Using Sleep Methods www.ijecs.in International Journal Of Engineering And Computer Science ISSN:2319-7242 Volume 2 Issue 9 September 2013 Page No. 2842-2847 Leakage Power Reduction by Using Sleep Methods Vinay Kumar Madasu

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

High efficiency DC-DC Buck converter architecture suitable for embedded applications using switched capacitor

High efficiency DC-DC Buck converter architecture suitable for embedded applications using switched capacitor International Journal of Engineering Science Invention ISSN (Online): 2319 6734, ISSN (Print): 2319 6726 Volume 2 Issue 4 ǁ April. 2013 ǁ PP.15-19 High efficiency DC-DC Buck converter architecture suitable

More information

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY

INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY Active Low Pass Filter based Efficient DC-DC Converter K.Raashmil *1, V.Sangeetha 2 *1 PG Student, Department of VLSI Design,

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique

Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Leakage Power Reduction for Logic Circuits Using Variable Body Biasing Technique Anjana R 1 and Ajay K Somkuwar 2 Assistant Professor, Department of Electronics and Communication, Dr. K.N. Modi University,

More information

STATIC cmos circuits are used for the vast majority of logic

STATIC cmos circuits are used for the vast majority of logic 176 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 64, NO. 2, FEBRUARY 2017 Design of Low-Power High-Performance 2 4 and 4 16 Mixed-Logic Line Decoders Dimitrios Balobas and Nikos Konofaos

More information

A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design

A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design A Comparative Analysis of Low Power and Area Efficient Digital Circuit Design 1 B. Dilli Kumar, 2 A. Chandra Babu, 2 V. Prasad 1 Assistant Professor, Dept. of ECE, Yoganada Institute of Technology & Science,

More information

Leakage Diminution of Adder through Novel Ultra Power Gating Technique

Leakage Diminution of Adder through Novel Ultra Power Gating Technique Leakage Diminution of Adder through Novel Ultra Power Gating Technique Aushi Marwah; Prof. Meenakshi Mishra ShriRam College of Engineering & Management, Banmore Abstract: Technology scaling helps us to

More information

Design & Analysis of Low Power Full Adder

Design & Analysis of Low Power Full Adder 1174 Design & Analysis of Low Power Full Adder Sana Fazal 1, Mohd Ahmer 2 1 Electronics & communication Engineering Integral University, Lucknow 2 Electronics & communication Engineering Integral University,

More information

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools

High-Performance of Domino Logic Circuit for Wide Fan-In Gates Using Mentor Graphics Tools IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 6, Ver. II (Nov -Dec. 2015), PP 06-15 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org High-Performance of Domino Logic

More information

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements

Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Energy Efficiency of Power-Gating in Low-Power Clocked Storage Elements Christophe Giacomotto 1, Mandeep Singh 1, Milena Vratonjic 1, Vojin G. Oklobdzija 1 1 Advanced Computer systems Engineering Laboratory,

More information

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates

Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates Double Stage Domino Technique: Low- Power High-Speed Noise-tolerant Domino Circuit for Wide Fan-In Gates R Ravikumar Department of Micro and Nano Electronics, VIT University, Vellore, India ravi10ee052@hotmail.com

More information

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology

Design of a Low Voltage low Power Double tail comparator in 180nm cmos Technology Research Paper American Journal of Engineering Research (AJER) e-issn : 2320-0847 p-issn : 2320-0936 Volume-3, Issue-9, pp-15-19 www.ajer.org Open Access Design of a Low Voltage low Power Double tail comparator

More information

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique

Minimizing the Sub Threshold Leakage for High Performance CMOS Circuits Using Stacked Sleep Technique International Journal of Electrical Engineering. ISSN 0974-2158 Volume 10, Number 3 (2017), pp. 323-335 International Research Publication House http://www.irphouse.com Minimizing the Sub Threshold Leakage

More information

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP

DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP DESIGN AND ANALYSIS OF LOW POWER CHARGE PUMP CIRCUIT FOR PHASE-LOCKED LOOP 1 B. Praveen Kumar, 2 G.Rajarajeshwari, 3 J.Anu Infancia 1, 2, 3 PG students / ECE, SNS College of Technology, Coimbatore, (India)

More information

Design of CMOS Based PLC Receiver

Design of CMOS Based PLC Receiver Available online at: http://www.ijmtst.com/vol3issue10.html International Journal for Modern Trends in Science and Technology ISSN: 2455-3778 :: Volume: 03, Issue No: 10, October 2017 Design of CMOS Based

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques

Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Characterization of 6T CMOS SRAM in 65nm and 120nm Technology using Low power Techniques Sumit Kumar Srivastavar 1, Er.Amit Kumar 2 1 Electronics Engineering Department, Institute of Engineering & Technology,

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

GENERALLY speaking, to decrease the size and weight of

GENERALLY speaking, to decrease the size and weight of 532 IEEE TRANSACTIONS ON POWER ELECTRONICS, VOL. 24, NO. 2, FEBRUARY 2009 A Low-Consumption Regulated Gate Driver for Power MOSFET Ren-Huei Tzeng, Student Member, IEEE, and Chern-Lin Chen, Senior Member,

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

WITH the trend of integrating different modules on a

WITH the trend of integrating different modules on a IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 64, NO. 7, JULY 2017 737 A Fully Integrated Multistage Cross-Coupled Voltage Multiplier With No Reversion Power Loss in a Standard CMOS

More information

Highly Efficient Ultra-Compact Isolated DC-DC Converter with Fully Integrated Active Clamping H-Bridge and Synchronous Rectifier

Highly Efficient Ultra-Compact Isolated DC-DC Converter with Fully Integrated Active Clamping H-Bridge and Synchronous Rectifier Highly Efficient Ultra-Compact Isolated DC-DC Converter with Fully Integrated Active Clamping H-Bridge and Synchronous Rectifier JAN DOUTRELOIGNE Center for Microsystems Technology (CMST) Ghent University

More information

DESIGN OF MODIFY WILSON CURRENT MIRROR CIRCUIT BASED LEVEL SHIFTERS USING STACK TECHNIQUES

DESIGN OF MODIFY WILSON CURRENT MIRROR CIRCUIT BASED LEVEL SHIFTERS USING STACK TECHNIQUES DESIGN OF MODIFY WILSON CURRENT MIRROR CIRCUIT BASED LEVEL SHIFTERS USING STACK TECHNIQUES M.Ragulkumar 1, Placement Officer of MikrosunTechnology, Namakkal, ragulragul91@gmail.com 1. Abstract Wide Range

More information

UNIT-1 Fundamentals of Low Power VLSI Design

UNIT-1 Fundamentals of Low Power VLSI Design UNIT-1 Fundamentals of Low Power VLSI Design Need for Low Power Circuit Design: The increasing prominence of portable systems and the need to limit power consumption (and hence, heat dissipation) in very-high

More information

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits

Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits Comparative Study of Different Low Power Design Techniques for Reduction of Leakage Power in CMOS VLSI Circuits P. S. Aswale M. E. VLSI & Embedded Systems Department of E & TC Engineering SITRC, Nashik,

More information

Contents 1 Introduction 2 MOS Fabrication Technology

Contents 1 Introduction 2 MOS Fabrication Technology Contents 1 Introduction... 1 1.1 Introduction... 1 1.2 Historical Background [1]... 2 1.3 Why Low Power? [2]... 7 1.4 Sources of Power Dissipations [3]... 9 1.4.1 Dynamic Power... 10 1.4.2 Static Power...

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 Asst. Professsor, Anurag group of institutions 2,3,4 UG scholar,

More information

A Novel Latch design for Low Power Applications

A Novel Latch design for Low Power Applications A Novel Latch design for Low Power Applications Abhilasha Deptt. of Electronics and Communication Engg., FET-MITS Lakshmangarh, Rajasthan (India) K. G. Sharma Suresh Gyan Vihar University, Jagatpura, Jaipur,

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

A Design Comparison of Low Power 50 nm Technology Based Inverter with Sleep Transistor and MTCMOS Scheme

A Design Comparison of Low Power 50 nm Technology Based Inverter with Sleep Transistor and MTCMOS Scheme A Design Comparison of Low Power 50 nm Technology Based Inverter with Sleep Transistor and MTCMOS Scheme Arun Kumar Sunaniya, PhD Scholar MANIT Bhopal arun.sunaniya@gmail.com Kavita Khare Associate professor

More information

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology

Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Voltage IJSRD - International Journal for Scientific Research & Development Vol. 2, Issue 02, 2014 ISSN (online): 2321-0613 Analysis of Low Power-High Speed Sense Amplifier in Submicron Technology Sunil

More information

Review and Analysis of Glitch Reduction for Low Power VLSI Circuits

Review and Analysis of Glitch Reduction for Low Power VLSI Circuits Review and Analysis of Glitch Reduction for Low Power VLSI Circuits Somashekhar Malipatil 1 1 Assistant Professor Department of Electronics & Communication Engineering Nalla Malla Reddy Engineering College,

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER

DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER DESIGNING OF SRAM USING LECTOR TECHNIQUE TO REDUCE LEAKAGE POWER Ashwini Khadke 1, Paurnima Chaudhari 2, Mayur More 3, Prof. D.S. Patil 4 1Pursuing M.Tech, Dept. of Electronics and Engineering, NMU, Maharashtra,

More information

4202 E. Fowler Ave., ENB118, Tampa, Florida kose

4202 E. Fowler Ave., ENB118, Tampa, Florida kose Department of Electrical Engineering, 813.974.6636 (phone), kose@usf.edu 4202 E. Fowler Ave., ENB118, Tampa, Florida 33620 http://www.eng.usf.edu/ kose Research Interests Research interests: On-chip voltage

More information

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham

Reduce Power Consumption for Digital Cmos Circuits Using Dvts Algoritham IOSR Journal of Electrical and Electronics Engineering (IOSR-JEEE) e-issn: 2278-1676,p-ISSN: 2320-3331, Volume 10, Issue 5 Ver. II (Sep Oct. 2015), PP 109-115 www.iosrjournals.org Reduce Power Consumption

More information

An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension

An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension An Optimized Design of High-Speed and Energy- Efficient Carry Skip Adder with Variable Latency Extension Monisha.T.S 1, Senthil Prakash.K 2 1 PG Student, ECE, Velalar College of Engineering and Technology

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 Lecture 10: Electroabsorption Modulator Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger

Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger International Journal of Scientific and Research Publications, Volume 5, Issue 2, February 2015 1 Read/Write Stability Improvement of 8T Sram Cell Using Schmitt Trigger Dr. A. Senthil Kumar *,I.Manju **,

More information

Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell

Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell International Journal of Electronics and Computer Science Engineering 333 Available Online at www.ijecse.org ISSN: 2277-1956 Implementation of 1-bit Full Adder using Gate Difuision Input (GDI) cell Arun

More information

DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC

DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC DESIGN OF EXTENDED 4-BIT FULL ADDER CIRCUIT USING HYBRID-CMOS LOGIC 1 S.Varalakshmi, 2 M. Rajmohan, M.Tech, 3 P. Pandiaraj, M.Tech 1 M.Tech Department of ECE, 2, 3 Asst.Professor, Department of ECE, 1,

More information

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique

ECE1352. Term Paper Low Voltage Phase-Locked Loop Design Technique ECE1352 Term Paper Low Voltage Phase-Locked Loop Design Technique Name: Eric Hu Student Number: 982123400 Date: Nov. 14, 2002 Table of Contents Abstract pg. 04 Chapter 1 Introduction.. pg. 04 Chapter 2

More information

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT

ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT ZIGZAG KEEPER: A NEW APPROACH FOR LOW POWER CMOS CIRCUIT Kaushal Kumar Nigam 1, Ashok Tiwari 2 Department of Electronics Sciences, University of Delhi, New Delhi 110005, India 1 Department of Electronic

More information

Atypical op amp consists of a differential input stage,

Atypical op amp consists of a differential input stage, IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 6, JUNE 1998 915 Low-Voltage Class Buffers with Quiescent Current Control Fan You, S. H. K. Embabi, and Edgar Sánchez-Sinencio Abstract This paper presents

More information

AS very large-scale integration (VLSI) circuits continue to

AS very large-scale integration (VLSI) circuits continue to IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 49, NO. 11, NOVEMBER 2002 2001 A Power-Optimal Repeater Insertion Methodology for Global Interconnects in Nanometer Designs Kaustav Banerjee, Member, IEEE, Amit

More information

Ultra Low Power VLSI Design: A Review

Ultra Low Power VLSI Design: A Review International Journal of Emerging Engineering Research and Technology Volume 4, Issue 3, March 2016, PP 11-18 ISSN 2349-4395 (Print) & ISSN 2349-4409 (Online) Ultra Low Power VLSI Design: A Review G.Bharathi

More information

Chapter 13: Introduction to Switched- Capacitor Circuits

Chapter 13: Introduction to Switched- Capacitor Circuits Chapter 13: Introduction to Switched- Capacitor Circuits 13.1 General Considerations 13.2 Sampling Switches 13.3 Switched-Capacitor Amplifiers 13.4 Switched-Capacitor Integrator 13.5 Switched-Capacitor

More information