Propagation Delay Analysis of a Soft Open Defect inside a TSV

Size: px
Start display at page:

Download "Propagation Delay Analysis of a Soft Open Defect inside a TSV"

Transcription

1 Kondo et al.: Propagation Delay Analysis (1/8) [Short Note] Propagation Delay Analysis of a Soft Open Defect inside a TSV Shohei Kondo, Hiroyuki Yotsuyanagi, and Masaki Hashizume Institute of Technology and Science, The University of Tokushima, Minamijousanjima-cho, Tokushima , Japan (Received August 16, 2011; accepted November 4, 2011) Abstract The propagation delay of a logic signal through a through silicon via (TSV) in a 3D IC may depend on a soft open defect inside it. The propagation delay of a defective TSV which is connected only with barrier metal, in part owing to a soft open defect, is analyzed with an electromagnetic simulator and a circuit one in this paper. The results reveal that if such a soft open defect occurs inside a TSV, the delay depends on the defect size and the IC may work without any errors. A soft open defect will change into a hard open one in operation of a 3D IC and may generate a logical error. In order to realize high reliability of the IC, the defect should be detected before it changes into a hard open defect. In this paper, test input vectors are proposed with which a soft open defect can be detected by delay testing. However, the simulation results suggest that when the input and output capacitance of a TSV is small, the defect may not be detected even if the test vectors are provided to the defective IC, since the propagation delay of the defective TSV can be smaller than a defect-free one. Keywords: TSV, Soft Open, Propagation Delay, Electromagnetic Simulation, Fault Analysis, 3D IC, Delay Testing, Test Input Vector 1. Introduction 3D IC technology will realize size reduction, performance increase, and low power consumption in portable electronics. Thus, much attention has been paid to 3D IC fabrication.[1, 2] TSVs are key elements for realizing 3D ICs. There are various fabrication methods for 3D ICs based on TSVs.[1, 3] Short defects and open ones can occur independently of the fabrication methods, since there are many TSVs inside a 3D IC.[3, 4] Short defects will generate logical errors by providing complement logic values to the defective TSVs. On the other hand, it is not apparent what faulty effects are caused by an open defect in a TSV. Generally, open defects are more difficult to detect than short ones. Thus, only open defects in TSVs are targeted in this paper. Open defects can be classified into hard open defects and soft ones. In a hard open defect, a TSV is divided into two completely separate parts which are not connected to each other. In a soft open defect, the parts are partially connected electrically to each other. A soft open defect may also be called a weak open defect.[3] The defect may be caused by a void or a crack in the TSV.[5] Since a soft open defect may affect the reliability of the IC, a guideline for preventing a crack in a TSV is proposed.[6] Also, since such defects may occur in a TSV, a redundant design for TSVs[7] and a self-repair method[8] have been proposed. Defects that occur in TSVs are different from ones in SoCs. Furthermore, they often may occur and generate logical or timing errors. Thus, testing for TSVs is challenging[3, 5, 9] They can be classified into 2 types: pre-bond testing and post-bond testing. Open defects that generate logical errors will be detectable by boundary scan techniques. Since there are a lot of TSVs in a 3D IC, various Design for Testability (DfT) methods and built-in test(bist) methods have been proposed in order to test it quickly.[10 14] Soft open defects that generate timing errors may not be detected by boundary scan techniques, but they may be detected by an electrical test method. Thus, various electrical test methods have been proposed. A test method proposed in[15] is based on the principle of oscillation tests in analog circuits. An electrical test method with an on-chip sense amplifier has also been proposed.[16] In order to realize a high yield of 3D ICs, defective TSVs should be located. Thus, a test method has been proposed 119

2 Transactions of The Japan Institute of Electronics Packaging Vol. 4, No. 1, 2011 based on X-ray computed tomography.[17] It takes a long time to judge whether a soft open defect occurs in a TSV by this test method. Since there are many TSVs in a 3D IC, we should develop a test method with which a defective TSV can be located quickly. Soft open defects will reduce the reliability of an IC. It will result in some increase of propagation delay time. It will grow and can change into a hard open defect. Since a hard open defect may generate logical errors[18] as well as timing errors, a soft open defect should be detected before it becomes a hard one. However, it has not been apparent how long of a propagation delay time is caused by a soft open defect in a TSV. The propagation delay time of a defect-free TSV has been examined with an analytical model.[19, 20] It is difficult to derive the delay time using this approach owing to complexity of the analytical model derivation. On the other hand, more detailed parasitic effects may be derived and a more accurate delay time can be obtained by electromagnetic simulation, compared with the methodology based on purely circuit analysis. Thus, the delay time of a defectfree TSV has been examined by electromagnetic simulation.[21, 22] However, faulty effects on propagation delay time caused by a soft open defect in a TSV have not been examined by electromagnetic simulation. We examined the faulty effects caused by a soft open defect inside a TSV by electromagnetic simulation. A TSV is made of a main and a barrier metal. It seems that soft open defects occurring in a TSV depend on the configuration, process parameters used, and so on. Now, the TSV fabrication process continues to be revised and is not fixed. It is impossible to specify what size and what configuration of soft open defect occurs inside a TSV. Thus, as a first step of fault analysis for soft open defects inside TSVs, we examined the faulty effects of a defective TSV that is connected only with a barrier metal owing to a soft open defect. In Section 2, we denote our targeted layout of TSVs. In Section 3, we describe our fault analysis method and the results. the case of a hard open defect in a TSV.[18] Thus, we analyzed the layout shown in Fig. 2(a) so as to examine faulty effects caused by a soft open defect in a TSV that is adjacent to another TSV. We inserted a soft open defect into TSV5. Generally, TSVs are made of a main metal of Cu and a surrounding barrier metal of Ta. A soft open defect is caused by a void and a crack in a TSV.[4, 5] We selected a soft open defect (a) side view (b) top view Fig. 1 3D IC with TSVs. (a) targeted layout 2. Layout of Targeted TSVs An example of a 3D IC is shown in Fig. 1. In such an IC, some dies are connected to each other by TSVs as shown in Fig. 1(a). As shown in Fig. 1(b), they are connected by many TSVs. TSVs are close together. When a soft open defect occurs inside a TSV, the signal at the defective TSV may be changed by the logic signals of the neighboring ones, as in (b) inserted soft open defect Fig. 2 Targeted layout. 120

3 Kondo et al.: Propagation Delay Analysis (3/8) (a) open defect #1 (b) open defect #2 Fig. 3 Our models of defects. Table 1 Sizes of targeted TSVs. parameter value [μm] H H S 1.75 W 1.75 with which a TSV is connected together with a fine wire made only from barrier metal as a targeted defect. Examples of defective TSVs are shown in Fig. 3. The cross section of the soft open defects is not rectangular. However, it can be simplified as a rectangular parallelepiped. Thus, as shown in Fig. 2(b), we assume that the cross-section of the fine wire is a square, whose edge length is d w. Also, we assume that the length of the wire is d h. Targeted open defects are specified by means of d w and d h. In the open defect shown in Fig. 3(b), d h2 and d w2 are greater than d h1 and d w1 in our open defect model, respectively, since the size of open defect #1 is bigger than open defect #2. We examined the faulty effects on output voltage of TSV5 shown in Fig. 2(b). The sizes of our targeted TSVs in Fig. 2 are shown in Table 1. These are the minimum permissible sizes that are satisfied by the design rule of the fabrication process of a 3D IC,[1] whose V DD is 1.0 V. 3. Fault Analysis for TSV Having Soft Open Defect TSVs are used for making connections between dies. We selected dies fabricated with a 90 nm CMOS process as our targeted dies. A TSV is driven by a logic gate and the logic signal through the TSV is outputted to a logic gate. Thus, we examined the faulty effects caused by a soft open defect inside a TSV with an inverter gate connected to the input and output ports of each TSV. In our fault analysis, we extracted the S-parameters of the layout shown in Fig. 2(a) with a 3-dimensional electromagnetic simulator EMpro produced by Agilent Tech- Fig. 4 Simulation circuit. nologies. We examined the faulty effects of a soft open defect inside the TSV by means of the S-parameters with a circuit simulator. The simulation circuit is shown in Fig. 4. We added the Spice model of an inverter gate designed with a 90 nm CMOS process to the S-parameters and simulated the circuit shown in Fig. 4 with the circuit simulator ADS produced by Agilent Technologies. Furthermore, the capacitors C L and C i were added to the simulation circuit as shown in Fig. 4. C L and C i are the load capacitance and input capacitance of the inverter gates, respectively. TSVs are modeled as lines of 50 Ω impedance in our S-parameter extraction. We derive the propagation delay from the waveform of TSV5out that is obtained from the circuit in Fig. 4. Thus, impedance mismatching exists between the TSVs and inverter gates. This means that there can be some errors in our derived propagation delays. However, since the length of the TSVs, H 1, is extremely small, we think that the errors are small. Thus, we derived the propagation delays of the circuit shown in Fig. 4. It seems that faulty effects will depend on the logic signals of neighboring TSVs. Thus, we inserted a soft open defect to TSV5 that was in the center of our targeted TSVs and discussed the faulty effects that appeared in the voltage of TSV5out depicted in Fig. 4. An FEM method is used in our S-parameter extraction. The frequency range is from 0.01 GHz to 50 GHz. The mesh precision is The number of adaptive mesh refinements is from 5 to 50. The percentage of each of the refinement is 25%. The simulation results for a soft open defect of d w = 1 nm shown in Fig. 6 are derived by the input signals shown in Fig. 5(a). The rising time and falling time of the input signals in this paper are 0.1nsec. The amplitude of each input signal is 1 V, since the targeted layout is for a fabrication process of V DD = 1.0 V. We assume that the logic threshold voltage is 0.5 V. A waveform of TSV5out in the defect-free 121

4 Transactions of The Japan Institute of Electronics Packaging Vol. 4, No. 1, 2011 Fig. 7 Equivalent circuit of our soft open defect. (a) Tst#1 (b) Tst#2 Fig. 5 Test input signals. On the other hand, when d h is smaller than 10 nm, the delay is smaller than in the defect-free case. This means that the defect can generate no faulty effects and the circuit will work as expected. Also, the delay caused by the change from L to H is almost the same as the one from H to L. Our targeted defective TSV can be modeled as the simple circuit shown in Fig. 7. In Fig. 7, a resistor R b is made of a barrier metal whose resistance can be estimated by Eq. (1). R b = ρ d h /(d w 2 ) (1) where ρ is the resistivity of the barrier metal. Capacitor C p is a parasitic capacitor whose capacitance can be estimated by Eq. (2). C p = ε (w 2 - d 2 w )/d h (2) (a) rising signal (b) falling signal Fig. 6 TSV5out when Tst#1 is provided (C L = C i = 0, d w = 1 nm). circuit is the one depicted as d h = 0 nm. A signal from L to H is provided to TSV5 at 1.0 nsec. As shown in Fig. 6, when a soft open defect occurs at TSV5, a small delay will appear in TSV5out. Also, when d h is greater than 250 nm, the propagation delay becomes larger than for the defect-free circuit. Thus, the defect may be detected by delay testing. where ε is a dielectric constant of the open defect. When d h is large, an electrical signal will be propagated through R b since C p is small. On the other hand, when d h is extremely small, C p becomes large and the electrical signal will be propagated through C p. Thus, the propagation delay in the defective TSV may become smaller than in the defect-free TSV as shown in Fig. 6. The simulation results for Tst#2 are shown in Fig. 8. The propagation delay in Fig. 8 is smaller than in Fig. 6. This stems from the parasitic capacitance between the defective TSV and the neighboring ones. However, as shown in Fig. 8, almost the same phenomena can be obtained as in Fig. 6. Thus, in the rest of this paper, we discuss propagation delays only for Tst#1. In Fig. 6 and 8, the slope of TSV5out is almost the same as the others. That is the reason why H1 is small and the resistance of the TSVs is small. If a soft open defect occurs inside a TSV, logical errors will not occur as shown in Fig. 6. Faulty effects appear only as changes in the propagation delay time. The delay time depends on d h in Fig. 6. Since it may also depend on d w, we examined the effects caused by this dependence. The results are shown in Fig. 9. Δt pd in Fig. 9 is defined by Eq. (3). 122

5 Kondo et al.: Propagation Delay Analysis (5/8) (a) rising signal Fig. 10 TSV5out when L is provided to all TSVs (C L = C i = 0). Δt pd = t pdc - t pdn (3) (b) falling signal Fig. 8 TSV5out when Tst#2 is provided (C L = C i = 0, d w = 1 nm). (a) rising signal (b)falling signal Fig. 9 Effects on Δt pd of size of soft open defect (C L = C i = 0). where t pdc and t pdn are the propagation delays of the circuit under test and the defect-free circuit, respectively. As shown in Fig. 9, when d h is small, it becomes negative. This means that the signal of TSV5in can be propagated to TSV5out more quickly than in the defect-free TSV and the soft open defect will not be detected by measuring the propagation delay time. On the other hand, when d h is large, Δt pd becomes large. That is the reason R b and the propagation delay time become large as d h becomes large. These characteristics do not depend on d w but on d h as shown in Fig. 9. Such a soft open defect may be detected by measuring the propagation delay time. In order to detect the open defect, test input vectors which increase the propagation delay time, should be provided to the TSVs. We examined the dependability of the logic signals of neighboring TSVs on the propagation delay time of TSV5 in order to derive input signals by which soft defects can be detected more easily. The waveforms of TSVout5 that are obtained by providing a Low signal to the TSVs other than TSV5 are shown in Fig. 10. For d h = 1000 nm in Tst#1 and this input signal, the values for Δt pd are 3.2 psec and 2.2 psec, respectively. Since the propagation delay generated by the input signal is smaller than Tst#1, a logic signal change whose direction is opposite to the logical change in TSV5in should be provided to TSVs other than the defective one in order for the delay to become large. Figure 11 shows the waveforms of TSV5out when a signal from H to L is provided to TSV10. As shown in Fig. 11, this signal generates TSV5out waveforms that are almost the same as the ones obtained by providing L to TSVs other than TSV5. This means that the effect caused by the signal change is shielded by TSV4 and is not propagated to 123

6 Transactions of The Japan Institute of Electronics Packaging Vol. 4, No. 1, 2011 Fig. 11 TSV5out when logical change is provided to TSV10 (C L = C i = 0). Fig. 13 TSV5out when logical change is provided to both TSV4 and TSV6 (C L = C i = 0). Fig. 12 TSV5out when logical change is provided to TSV4 and TSV7 (C L = C i = 0). (a) rising signal TSV5out. Waveforms of TSV5out that are obtained by providing such a signal to TSV4 are shown in Fig. 12. As shown in Fig. 12, a larger propagation delay can be generated by the signal of TSV4 than by the signal of TSV7. Thus, a signal change whose direction is opposite to that of TSV5in should be provided to the TSVs neighboring TSV5. Waveforms obtained by providing a logical change to TSV4 and TSV6 simultaneously are shown in Fig. 13. As shown in Fig. 13, a larger propagation delay appears than when providing a logical change only to TSV4. This means that the propagation delay can be made large by providing a logical change to as many TSVs as possible that are neighboring to TSV5. Therefore, it is concluded that Tst#1 is the test input vector with which the propagation delay can be made largest. Generally, C i and C L are connected to each TSV. Thus, we examined the effects caused by the capacitance. Waveforms of TSV5out generated by providing Tst#1 to the (b) falling signal Fig. 14 TSV5out when C L = C i = 50 ff (d w = 1 nm). TSVs are shown in Fig. 14. Comparing the waveforms to the ones shown in Fig. 6 shows that larger propagation delays appears when C i = C L = 50 ff than when C i = C L = 0 ff. Since the characteristics may depend on the capacitance and d w, we examined their effects. The results are shown in Fig. 15. As shown, as the capacitance becomes large, the propagation delay will become large and the soft open 124

7 Kondo et al.: Propagation Delay Analysis (7/8) (a) d w = 1 nm (b) d w = 8 nm Fig. 15 Effects on Δt pd of d h, C i and C L (C L = C i ). defect will be detected more easily. Also, as d w becomes large, the delay will become small, since R b becomes small. Thus, the soft open defect whose d w is large may not be detected by delay testing. We think that electrical testing is necessary to detect such a defect before it changes into a hard open defect. The waveforms obtained with our simulation have not been compared to experimental results obtained with real ICs in which the soft open defects shown in Fig. 2 are inserted. There may be some differences between them, since defective TSVs are modeled only with S-parameters and there may be some effects caused by impedance mismatches between the S-parameter model and the added inverter gates. However, it can be estimated from the principle and from our simulation results that soft open defects generate only small propagation delays. Thus, a defective 3D IC in which soft open defects occur at TSVs may work as expected. This suggests to us that a more powerful test method should be developed so that defects generating small delays can be detected in order for them to be detected before they generate logical errors. 4. Conclusion In this paper, a soft open defect inside a TSV was created by establishing a connection within the TSV using a fine wire made from only barrier metal. This defect was then targeted for study. Faulty effects caused by the defect were examined with a 3D electromagnetic simulator and a circuit simulator. The simulation results show that the propagation delay at the defective TSV may depend on the size of the defect and the defective IC may work as expected, since the delay is small. Also, we propose test input vectors which allow the soft open defect to be detected by delay testing. Furthermore, we reveal the possibility that when the input and output capacitances of a TSV are small, a soft open defect can not be detected even if the test input vectors are provided to the IC, since the propagation delay of a defective IC can be smaller than the defect-free IC. Faulty effects caused by a soft open defect of only one type were examined in this paper. Many types of open defects can occur inside a TSV. Fault analysis should be performed for the defects. Also, we examined the faulty effects only by simulation. They should be examined with real ICs, in which soft open defects occur at TSVs. Furthermore, powerful test methods should be developed for detecting soft open defects in TSVs in order to realize high reliability of 3D ICs. These problems remain for future work. Acknowledgements This work was accomplished using EMPro and ADS produced by Agilent Technologies. We would like to thank the staff at Agilent Technologies, especially, Mr. Noriyoshi Hashimoto for their technical support. Also, this work was supported by the VLSI Design and Education Center (VDEC) at the University of Tokyo in collaboration with STARC, Fujitsu Limited, Matsushita Electric Industrial Company Limited., NEC Electronics Corporation, Renesas Technology Corporation, and Toshiba Corporation. References [1] V. F. Pavlidis and E. G. Friedman, Three-dimensional Integrated Circuit Design, Morgan Kaufman, Burlington, MA, USA, [2] J. U. Knickerbocker, P. S. Andry, B. Dang, R. R. Horton, C. S. Patel, R. J. Polastre, K. Sakuma, E. S. Sprogis, C. K. Tsang, B. C. Webb, and S. L. Wright, 3D Silicon Integration, Proc. of IEEE 2008 Electronic Components and Technology Conference, pp , [3] E. J. Marinissen and Y. Zorian, Testing 3D Chips Containing Through-Silicon Vias, Proc. of IEEE International Test Conference 2009, Paper ET1.1, pp. 1 11,

8 Transactions of The Japan Institute of Electronics Packaging Vol. 4, No. 1, 2011 [4] E. J. Marinissen, Testing TSV-Based Three-Dimensional Stacked ICs, Proc. of 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp , [5] E. J. Marinissen, Challenges in Testing TSV-Based 3D Stacked ICs : Test Flows, Test Contents, and Test Access, Proc. of 2010 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), pp , [6] Z. Zhang, Guideline to avoid cracking in 3D TSV design, Proc. of 12th IEEE Intersociety Conference on Thermal and Thermomechanical Phenomena in Electronic Systems (ITherm), pp. 1 5, [7] A. C. Hsieh, T. T. Hwang, M. T. Chang, M. H. Tsai, C. M. Tseng, and H. C. Li, TSV Redundancy: Architecture and Design Issues in 3D IC, Proc. of 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp , [8] H. Y. Huang, Y. S. Huang, and C. L. Hsu, Built-in Self-Test/Repair Scheme for TSV-Based Three- Dimensional Integrated Circuits, Proc. of 2010 IEEE Asia Pacific Conference on Circuits and Systems (APCCAS), pp , [9] H. H. S. Lee and K. Chakrabarty, Test Challenges for 3D Integrated Circuits, IEEE Design and Test of Computers, Vol. 26, No. 5, pp , September/ October, [10] P. Y. Chen, C. W. Wu, and D. M. Kwai, On-Chip Testing of Blind and Open-Sleeve TSVs for 3D IC before Bonding, Proc. of th IEEE VLSI Test Symposium, pp , [11] C. W. Chou, J. F. Li, J. J. Chen, D. M. Kwai, Y. F. Chou, and C. W. Wu, A Test Integration Methodology for 3D Integrated Circuits, Proc. of th IEEE Asian Test, pp , [12] B. Noia, K. Chakrabarty, and E. J. Marinissen, Optimization Methods for Post-Bond Die-Internal/External Testing in 3D Stacked ICs, Proc. of 2010 IEEE International Test Conference, pp. 1 9, [13] M. Gulbins, F. Hopsch, P. Schneider, B. Straube, and W. Vermeiren, Developing digital test sequences for through-silicon vias within 3D structures, Proc. of 2010 IEEE International 3D Systems Integration Conference (3DIC), pp. 1 6, [14] Y. J. Huang, J. F. Li, J. J. Chen, D. M. Kwai, Y. F. Chou, and C. W. Wu, A Built-In Self-Test Scheme for the Post-Bond Test of TSV s in 3D ICs, Proc. of th IEEE VLSI Test Symposium, pp , [15] J. W. You, S. Y. Huang, D. M. Kwai, Y. F. Chou, and C. W. Wu, Performance Characterization of TSV in 3D IC via Sensitivity Analysis, Proc. of IEEE 19th Asian Test Symposium, pp , [16] P. Y. Chen, C. W. Wu, and D. M. Kwai, On-Chip TSV Testing for 3D IC before Bonding Using Sense Amplification, Proc. of 2009 IEEE Asian Test Symposium, pp , [17] N. S. Vasarla, S. Neo, H. Li, A. D. Trigg, and C. C. Kuo, Non-destructive Testing of a High Dense Small Dimension Through Silicon Via (TSV) Array Structures by Using 3D X-ray Computed Tomography Method (CT scan), Proc. of 12th Electronics Packaging Technology Conference (EPTC), pp , [18] M. Hashizume, S. Kondo, and H. Yotsuyanagi, Possibility of Logical Error Caused by Open Defects in TSVs, Proc. of 2010 International Technical Conference on Circuits, Computers and Communications (ITC-CSCC), pp , [19] D. E. Khalil, Y. Ismail, M. Khellah, T. Karnik, and V. De, Analytical Model for the Propagation Delay of Through Silicon Vias, Proc. of the 9th International Symposium on Quality Electronic Design(ISQED), pp , [20] R. Weerasekera, M. Grange, D. Pamunuwa, and H. Tenhunen, On Signaling Over Through-Silicon Via (TSV) Interconnects in 3-D Integrated Circuits, Proc. of 2010 Design, Automation & Test in Europe Conference & Exhibition (DATE), pp , [21] M. Miao, L. Liang, Z. Li, B. Han, X. Sun, and Y. Jin, 3D Modeling and Finite-element Full-wave Simulation of TSV for Stack up SIP Integration Applications, Proc. of 11th International Conference on Electronic Packaging Technology & High Density Packaging (ICEPT-HDP), pp , [22] C. Liu, T. Song, J. Cho, J. Kim, J. Kim, and S. K. Lim, Full-Chip TSV-to-TSV Coupling Analysis and Optimization in 3D IC, Proc. of DAC

Parallel vs. Serial Inter-plane communication using TSVs

Parallel vs. Serial Inter-plane communication using TSVs Parallel vs. Serial Inter-plane communication using TSVs Somayyeh Rahimian Omam, Yusuf Leblebici and Giovanni De Micheli EPFL Lausanne, Switzerland Abstract 3-D integration is a promising prospect for

More information

All-digital ramp waveform generator for two-step single-slope ADC

All-digital ramp waveform generator for two-step single-slope ADC All-digital ramp waveform generator for two-step single-slope ADC Tetsuya Iizuka a) and Kunihiro Asada VLSI Design and Education Center (VDEC), University of Tokyo 2-11-16 Yayoi, Bunkyo-ku, Tokyo 113-0032,

More information

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2 Design Space Exploration for Robust Power Delivery in TSV Based 3-D Systems-on-Chip Suhas M. Satheesh High-Speed Fabrics Team NVIDIA Santa Clara, California 955 ssatheesh@nvidia.com Emre Salman Department

More information

Measuring Method for TSV-based Interconnect Resistance in 3D-SIC by Embedded Analog Boundar y-scan Circuit

Measuring Method for TSV-based Interconnect Resistance in 3D-SIC by Embedded Analog Boundar y-scan Circuit [Tutorial Paper] Measuring Method for TSV-based Interconnect Resistance in 3D-SIC by Embedded Analog Boundar y-scan Circuit Shuichi Kameyama*, Masayuki Baba*, Yoshinobu Higami**, and Hiroshi Takahashi**

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER

SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER ABSTRACT Vaishali Dhare 1 and Usha Mehta 2 1 Assistant Professor, Institute of Technology, Nirma University, Ahmedabad

More information

Practical Fault Coverage of Supply Current Tests for Bipolar ICs

Practical Fault Coverage of Supply Current Tests for Bipolar ICs Practical Coverage Supply Current Tests for Bipolar ICs Isao Tsukimoto, Masaki Hashizume, Hiroyuki Yotsuyanagi, Takeomi Tamesada Dept. Electronic Engineering, Takuma National College Technology tukimoto@de.takuma-ct.ac.jp

More information

Power Distribution Paths in 3-D ICs

Power Distribution Paths in 3-D ICs Power Distribution Paths in 3-D ICs Vasilis F. Pavlidis Giovanni De Micheli LSI-EPFL 1015-Lausanne, Switzerland {vasileios.pavlidis, giovanni.demicheli}@epfl.ch ABSTRACT Distributing power and ground to

More information

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer

Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer 2016 IEEE 66th Electronic Components and Technology Conference Electromagnetic Bandgap Design for Power Distribution Network Noise Isolation in the Glass Interposer Youngwoo Kim, Jinwook Song, Subin Kim

More information

A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor

A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor LETTER IEICE Electronics Express, Vol.9, No.24, 1842 1848 A 2.4 GHz to 3.86 GHz digitally controlled oscillator with 18.5 khz frequency resolution using single PMOS varactor Yangyang Niu, Wei Li a), Ning

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting

Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting Modeling the Effect of Wire Resistance in Deep Submicron Coupled Interconnects for Accurate Crosstalk Based Net Sorting C. Guardiani, C. Forzan, B. Franzini, D. Pandini Adanced Research, Central R&D, DAIS,

More information

A passive circuit based RF optimization methodology for wireless sensor network nodes. Article (peer-reviewed)

A passive circuit based RF optimization methodology for wireless sensor network nodes. Article (peer-reviewed) Title Author(s) Editor(s) A passive circuit based RF optimization methodology for wireless sensor network nodes Zheng, Liqiang; Mathewson, Alan; O'Flynn, Brendan; Hayes, Michael; Ó Mathúna, S. Cian Wu,

More information

UNEXPECTED through-silicon-via (TSV) defects may occur

UNEXPECTED through-silicon-via (TSV) defects may occur IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 10, OCTOBER 2017 1759 Grouping-Based TSV Test Architecture for Resistive Open and Bridge Defects in 3-D-ICs Young-woo

More information

4-Bit Ka Band SiGe BiCMOS Digital Step Attenuator

4-Bit Ka Band SiGe BiCMOS Digital Step Attenuator Progress In Electromagnetics Research C, Vol. 74, 31 40, 2017 4-Bit Ka Band SiGe BiCMOS Digital Step Attenuator Muhammad Masood Sarfraz 1, 2, Yu Liu 1, 2, *, Farman Ullah 1, 2, Minghua Wang 1, 2, Zhiqiang

More information

/14/$ IEEE 470

/14/$ IEEE 470 Analysis of Power Distribution Network in Glass, Silicon Interposer and PCB Youngwoo Kim, Kiyeong Kim Jonghyun Cho, and Joungho Kim Department of Electrical Engineering, KAIST Daejeon, South Korea youngwoo@kaist.ac.kr

More information

Broadband Substrate to Substrate Interconnection

Broadband Substrate to Substrate Interconnection Progress In Electromagnetics Research C, Vol. 59, 143 147, 2015 Broadband Substrate to Substrate Interconnection Bo Zhou *, Chonghu Cheng, Xingzhi Wang, Zixuan Wang, and Shanwen Hu Abstract A broadband

More information

A Review of Phase Locked Loop Design Using VLSI Technology for Wireless Communication.

A Review of Phase Locked Loop Design Using VLSI Technology for Wireless Communication. A Review of Phase Locked Loop Design Using VLSI Technology for Wireless Communication. PG student, M.E. (VLSI and Embedded system) G.H.Raisoni College of Engineering and Management, A nagar Abstract: The

More information

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar Testing of Complex Digital Chips Juri Schmidt Advanced Seminar - 11.02.2013 Outline Motivation Why testing is necessary Background Chip manufacturing Yield Reasons for bad Chips Design for Testability

More information

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology

Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Ultra-low voltage high-speed Schmitt trigger circuit in SOI MOSFET technology Kyung Ki Kim a) and Yong-Bin Kim b) Department of Electrical and Computer Engineering, Northeastern University, Boston, MA

More information

A fully synthesizable injection-locked PLL with feedback current output DAC in 28 nm FDSOI

A fully synthesizable injection-locked PLL with feedback current output DAC in 28 nm FDSOI LETTER IEICE Electronics Express, Vol.1, No.15, 1 11 A fully synthesizable injection-locked PLL with feedback current output DAC in 8 nm FDSOI Dongsheng Yang a), Wei Deng, Aravind Tharayil Narayanan, Rui

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications 3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications Darryl Kostka, CST of America Taigon Song and Sung Kyu Lim, Georgia Institute of Technology Outline Introduction TSV Array

More information

A MONOLITHICALLY INTEGRATED PHOTORECEIVER WITH AVALANCHE PHOTODIODE IN CMOS TECHNOLOGY

A MONOLITHICALLY INTEGRATED PHOTORECEIVER WITH AVALANCHE PHOTODIODE IN CMOS TECHNOLOGY A MONOLITHICALLY INTEGRATED PHOTORECEIVER WITH AVALANCHE PHOTODIODE IN CMOS TECHNOLOGY Zul Atfyi Fauzan Mohammed Napiah 1,2 and Koichi Iiyama 2 1 Centre for Telecommunication Research and Innovation, Faculty

More information

A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing

A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing A Practical Approach to Obtain Defect Matrix for Integrated Circuit Testing LARISSA SOARES Federal University of Paraíba Department of Electrical Engineering Cidade Universitária, n/n João Pessoa BRAZIL

More information

Through-Silicon Via (TSV) Related Noise Coupling in Three-Dimensional (3-D) Integrated Circuits (ICs) A Thesis Presented. Mohammad Hosein Asgari

Through-Silicon Via (TSV) Related Noise Coupling in Three-Dimensional (3-D) Integrated Circuits (ICs) A Thesis Presented. Mohammad Hosein Asgari Through-Silicon Via (TSV) Related Noise Coupling in Three-Dimensional (3-D) Integrated Circuits (ICs) A Thesis Presented by Mohammad Hosein Asgari to The Graduate School in Partial Fulfillment of the Requirements

More information

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

Introduction to CMC 3D Test Chip Project

Introduction to CMC 3D Test Chip Project Introduction to CMC 3D Test Chip Project Robert Mallard CMC Microsystems Apr 20, 2011 1 Overview of today s presentation Introduction to the project objectives CMC Why 3D chip stacking? The key to More

More information

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit I J C T A, 9(15), 2016, pp. 7465-7470 International Science Press Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit B. Gobinath* and B. Viswanathan** ABSTRACT

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

L/S-Band 0.18 µm CMOS 6-bit Digital Phase Shifter Design

L/S-Band 0.18 µm CMOS 6-bit Digital Phase Shifter Design 6th International Conference on Mechatronics, Computer and Education Informationization (MCEI 06) L/S-Band 0.8 µm CMOS 6-bit Digital Phase Shifter Design Xinyu Sheng, a and Zhangfa Liu, b School of Electronic

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator

Design of Low Power High Speed Fully Dynamic CMOS Latched Comparator International Journal of Engineering Research and Development e-issn: 2278-067X, p-issn: 2278-800X, www.ijerd.com Volume 10, Issue 4 (April 2014), PP.01-06 Design of Low Power High Speed Fully Dynamic

More information

A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier

A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier 852 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 37, NO. 7, JULY 2002 A 7-GHz 1.8-dB NF CMOS Low-Noise Amplifier Ryuichi Fujimoto, Member, IEEE, Kenji Kojima, and Shoji Otaka Abstract A 7-GHz low-noise amplifier

More information

Analysis of On-Chip Spiral Inductors Using the Distributed Capacitance Model

Analysis of On-Chip Spiral Inductors Using the Distributed Capacitance Model 1040 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 6, JUNE 2003 Analysis of On-Chip Spiral Inductors Using the Distributed Capacitance Model Chia-Hsin Wu, Student Member, IEEE, Chih-Chun Tang, and

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation

A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement and Noise Cancellation 2017 International Conference on Electronic, Control, Automation and Mechanical Engineering (ECAME 2017) ISBN: 978-1-60595-523-0 A Low Power Single Ended Inductorless Wideband CMOS LNA with G m Enhancement

More information

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design DesignCon 2009 Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design Hsing-Chou Hsu, VIA Technologies jimmyhsu@via.com.tw Jack Lin, Sigrity Inc.

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids

Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Analysis and Reduction of On-Chip Inductance Effects in Power Supply Grids Woo Hyung Lee Sanjay Pant David Blaauw Department of Electrical Engineering and Computer Science {leewh, spant, blaauw}@umich.edu

More information

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics

Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 19, Number 3, 2016, 199 212 Extraction of Transmission Line Parameters and Effect of Conductive Substrates on their Characteristics Saurabh

More information

Design and Analysis of Novel Compact Inductor Resonator Filter

Design and Analysis of Novel Compact Inductor Resonator Filter Design and Analysis of Novel Compact Inductor Resonator Filter Gye-An Lee 1, Mohamed Megahed 2, and Franco De Flaviis 1. 1 Department of Electrical and Computer Engineering University of California, Irvine

More information

An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application

An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application Progress In Electromagnetics Research Letters, Vol. 66, 99 104, 2017 An Asymmetrical Bulk CMOS Switch for 2.4 GHz Application Lang Chen 1, * and Ye-Bing Gan 1, 2 Abstract A novel asymmetrical single-pole

More information

Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability

Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability Process-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variability Islam A.K.M Mahfuzul Department of Communications and Computer Engineering Kyoto University mahfuz@vlsi.kuee.kyotou.ac.jp

More information

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate

A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Progress In Electromagnetics Research Letters, Vol. 74, 117 123, 2018 A Miniaturized Multi-Channel TR Module Design Based on Silicon Substrate Jun Zhou 1, 2, *, Jiapeng Yang 1, Donglei Zhao 1, and Dongsheng

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

A Beam Switching Planar Yagi-patch Array for Automotive Applications

A Beam Switching Planar Yagi-patch Array for Automotive Applications PIERS ONLINE, VOL. 6, NO. 4, 21 35 A Beam Switching Planar Yagi-patch Array for Automotive Applications Shao-En Hsu, Wen-Jiao Liao, Wei-Han Lee, and Shih-Hsiung Chang Department of Electrical Engineering,

More information

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract

More information

INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT

INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT ABSTRACT: This paper describes the design of a high-efficiency energy harvesting

More information

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code

Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Totally Self-Checking Carry-Select Adder Design Based on Two-Rail Code Shao-Hui Shieh and Ming-En Lee Department of Electronic Engineering, National Chin-Yi University of Technology, ssh@ncut.edu.tw, s497332@student.ncut.edu.tw

More information

A 6 : 1 UNEQUAL WILKINSON POWER DIVIDER WITH EBG CPW

A 6 : 1 UNEQUAL WILKINSON POWER DIVIDER WITH EBG CPW Progress In Electromagnetics Research Letters, Vol. 8, 151 159, 2009 A 6 : 1 UNEQUAL WILKINSON POWER DIVIDER WITH EBG CPW C.-P. Chang, C.-C. Su, S.-H. Hung, and Y.-H. Wang Institute of Microelectronics,

More information

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL POWER INTEGRITY ANALYSIS AND MANAGEMENT I CIRCUITS Raj Nair Donald Bennett PRENTICE HALL Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown

More information

IN THE modern integrated circuit (IC) industry, threedimensional

IN THE modern integrated circuit (IC) industry, threedimensional 458 IEEE TRANSACTIONS ON RELIABILITY, VOL. 66, NO. 2, JUNE 2017 R 2 -TSV: A Repairable and Reliable TSV Set Structure Reutilizing Redundancies Jaeseok Park, Minho Cheong, and Sungho Kang, Senior Member,

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

A Low Power Small Area Multi-bit Quantizer with A Capacitor String in Sigma-Delta Modulator

A Low Power Small Area Multi-bit Quantizer with A Capacitor String in Sigma-Delta Modulator A Low Power Small Area Multi-bit uantizer with A Capacitor String in Sigma-Delta Modulator Xuia Wang, Jian Xu, and Xiaobo Wu Abstract An ultra-low power area-efficient fully differential multi-bit quantizer

More information

Oscillation Test Methodology for Built-In Analog Circuits

Oscillation Test Methodology for Built-In Analog Circuits Oscillation Test Methodology for Built-In Analog Circuits Ms. Sankari.M.S and Mr.P.SathishKumar Department of ECE, Amrita School of Engineering, Bangalore, India Abstract This article aims to describe

More information

A COMPACT DUAL-BAND POWER DIVIDER USING PLANAR ARTIFICIAL TRANSMISSION LINES FOR GSM/DCS APPLICATIONS

A COMPACT DUAL-BAND POWER DIVIDER USING PLANAR ARTIFICIAL TRANSMISSION LINES FOR GSM/DCS APPLICATIONS Progress In Electromagnetics Research Letters, Vol. 1, 185 191, 29 A COMPACT DUAL-BAND POWER DIVIDER USING PLANAR ARTIFICIAL TRANSMISSION LINES FOR GSM/DCS APPLICATIONS T. Yang, C. Liu, L. Yan, and K.

More information

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1

1 FUNDAMENTAL CONCEPTS What is Noise Coupling 1 Contents 1 FUNDAMENTAL CONCEPTS 1 1.1 What is Noise Coupling 1 1.2 Resistance 3 1.2.1 Resistivity and Resistance 3 1.2.2 Wire Resistance 4 1.2.3 Sheet Resistance 5 1.2.4 Skin Effect 6 1.2.5 Resistance

More information

Simulation and Design Analysis of Integrated Receiver System for Millimeter Wave Applications

Simulation and Design Analysis of Integrated Receiver System for Millimeter Wave Applications Simulation and Design Analysis of Integrated Receiver System for Millimeter Wave Applications Rekha 1, Rajesh Kumar 2, Dr. Raj Kumar 3 M.R.K.I.E.T., REWARI ABSTRACT This paper presents the simulation and

More information

WITH the rapid evolution of liquid crystal display (LCD)

WITH the rapid evolution of liquid crystal display (LCD) IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 2, FEBRUARY 2008 371 A 10-Bit LCD Column Driver With Piecewise Linear Digital-to-Analog Converters Chih-Wen Lu, Member, IEEE, and Lung-Chien Huang Abstract

More information

Education on CMOS RF Circuit Reliability

Education on CMOS RF Circuit Reliability Education on CMOS RF Circuit Reliability Jiann S. Yuan 1 Abstract This paper presents a design methodology to study RF circuit performance degradations due to hot carrier and soft breakdown. The experimental

More information

Current Steering Digital Analog Converter with Partial Binary Tree Network (PBTN)

Current Steering Digital Analog Converter with Partial Binary Tree Network (PBTN) Indonesian Journal of Electrical Engineering and Computer Science Vol. 5, No. 3, March 2017, pp. 643 ~ 649 DOI: 10.11591/ijeecs.v5.i3.pp643-649 643 Current Steering Digital Analog Converter with Partial

More information

On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs

On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs On Accurate Full-Chip Extraction and Optimization of TSV-to-TSV Coupling Elements in 3D ICs Yarui Peng 1, Taigon Song 1, Dusan Petranovic 2, and Sung Kyu Lim 1 1 School of ECE, Georgia Institute of Technology,

More information

ISSN:

ISSN: High Frequency Power Optimized Ring Voltage Controlled Oscillator for 65nm CMOS Technology NEHA K.MENDHE 1, M. N. THAKARE 2, G. D. KORDE 3 Department of EXTC, B.D.C.O.E, Sevagram, India, nehakmendhe02@gmail.com

More information

Design of Single to Differential Amplifier using 180 nm CMOS Process

Design of Single to Differential Amplifier using 180 nm CMOS Process Design of Single to Differential Amplifier using 180 nm CMOS Process Bhoomi Patel 1, Amee Mankad 2 P.G. Student, Department of Electronics and Communication Engineering, Shantilal Shah Engineering College,

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

Modulation Based On-Chip Ramp Generator for ADC BIST

Modulation Based On-Chip Ramp Generator for ADC BIST Modulation Based On-Chip Ramp Generator for ADC BIST WAG YOG-SHEG, WAG JI-XIAG, LAI FEG-CHAG, YE YI-ZHEG Microelectronics Center Harbin Institute of Technology 92#, Xidazhi Street, Harbin, Heilongjiang,

More information

Decoupling Capacitance

Decoupling Capacitance Decoupling Capacitance Nitin Bhardwaj ECE492 Department of Electrical and Computer Engineering Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling

More information

Chapter 2 CMOS at Millimeter Wave Frequencies

Chapter 2 CMOS at Millimeter Wave Frequencies Chapter 2 CMOS at Millimeter Wave Frequencies In the past, mm-wave integrated circuits were always designed in high-performance RF technologies due to the limited performance of the standard CMOS transistors

More information

Design of a Temperature-Compensated Crystal Oscillator Using the New Digital Trimming Method

Design of a Temperature-Compensated Crystal Oscillator Using the New Digital Trimming Method Journal of the Korean Physical Society, Vol. 37, No. 6, December 2000, pp. 822 827 Design of a Temperature-Compensated Crystal Oscillator Using the New Digital Trimming Method Minkyu Je, Kyungmi Lee, Joonho

More information

Comparison of IC Conducted Emission Measurement Methods

Comparison of IC Conducted Emission Measurement Methods IEEE TRANSACTIONS ON INSTRUMENTATION AND MEASUREMENT, VOL. 52, NO. 3, JUNE 2003 839 Comparison of IC Conducted Emission Measurement Methods Franco Fiori, Member, IEEE, and Francesco Musolino, Member, IEEE

More information

Vishram S. Pandit, Intel Corporation (916) ]

Vishram S. Pandit, Intel Corporation (916) ] DesignCon 2008 Simulation and Characterization of GHz On-Chip Power Delivery Network (PDN) Vishram S. Pandit, Intel Corporation [vishram.s.pandit@intel.com, (916)356-2059] Woong Hwan Ryu, Intel Corporation

More information

VLSI Design I; A. Milenkovic 1

VLSI Design I; A. Milenkovic 1 CPE/EE 427, CPE 527 VLSI Design I L02: Design Metrics Department of Electrical and Computer Engineering University of Alabama in Huntsville Aleksandar Milenkovic ( www.ece.uah.edu/~milenka ) www.ece.uah.edu/~milenka/cpe527-03f

More information

NEW DUAL-BAND BANDPASS FILTER WITH COM- PACT SIR STRUCTURE

NEW DUAL-BAND BANDPASS FILTER WITH COM- PACT SIR STRUCTURE Progress In Electromagnetics Research Letters Vol. 18 125 134 2010 NEW DUAL-BAND BANDPASS FILTER WITH COM- PACT SIR STRUCTURE J.-K. Xiao School of Computer and Information Hohai University Changzhou 213022

More information

A MINIATURIZED OPEN-LOOP RESONATOR FILTER CONSTRUCTED WITH FLOATING PLATE OVERLAYS

A MINIATURIZED OPEN-LOOP RESONATOR FILTER CONSTRUCTED WITH FLOATING PLATE OVERLAYS Progress In Electromagnetics Research C, Vol. 14, 131 145, 21 A MINIATURIZED OPEN-LOOP RESONATOR FILTER CONSTRUCTED WITH FLOATING PLATE OVERLAYS C.-Y. Hsiao Institute of Electronics Engineering National

More information

EMI Reduction on an Automotive Microcontroller

EMI Reduction on an Automotive Microcontroller EMI Reduction on an Automotive Microcontroller Design Automation Conference, July 26 th -31 st, 2009 Patrice JOUBERT DORIOL 1, Yamarita VILLAVICENCIO 2, Cristiano FORZAN 1, Mario ROTIGNI 1, Giovanni GRAZIOSI

More information

A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation

A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation A 2.4-GHz 24-dBm SOI CMOS Power Amplifier with Fully Integrated Output Balun and Switched Capacitors for Load Line Adaptation Francesco Carrara 1, Calogero D. Presti 2,1, Fausto Pappalardo 1, and Giuseppe

More information

Capacitive Coupling Mitigation for TSV-based 3D ICs

Capacitive Coupling Mitigation for TSV-based 3D ICs Capacitive Coupling Mitigation for -based 3D ICs Ashkan Eghbal, Pooria M.Yaghini, and Nader Bagherzadeh Center for Pervasive Communications and Computing Department of Electrical Engineering and Computer

More information

Student Department of EEE (M.E-PED), 2 Assitant Professor of EEE Selvam College of Technology Namakkal, India

Student Department of EEE (M.E-PED), 2 Assitant Professor of EEE Selvam College of Technology Namakkal, India Design and Development of Single Phase Bridgeless Three Stage Interleaved Boost Converter with Fuzzy Logic Control System M.Pradeep kumar 1, M.Ramesh kannan 2 1 Student Department of EEE (M.E-PED), 2 Assitant

More information

2.45 GHz Power and Data Transmission for a Low-Power Autonomous Sensors Platform

2.45 GHz Power and Data Transmission for a Low-Power Autonomous Sensors Platform 9.4.45 GHz Power and Data Transmission for a Low-Power Autonomous Sensors Platform Stefano Gregori 1, Yunlei Li 1, Huijuan Li 1, Jin Liu 1, Franco Maloberti 1, 1 Department of Electrical Engineering, University

More information

A Study on the Characteristics of a Temperature Sensor with an Improved Ring Oscillator

A Study on the Characteristics of a Temperature Sensor with an Improved Ring Oscillator Proceedings of the World Congress on Electrical Engineering and Computer Systems and Science (EECSS 2015) Barcelona, Spain July 13-14, 2015 Paper No. 137 A Study on the Characteristics of a Temperature

More information

A 10:1 UNEQUAL GYSEL POWER DIVIDER USING A CAPACITIVE LOADED TRANSMISSION LINE

A 10:1 UNEQUAL GYSEL POWER DIVIDER USING A CAPACITIVE LOADED TRANSMISSION LINE Progress In Electromagnetics Research Letters, Vol. 32, 1 10, 2012 A 10:1 UNEQUAL GYSEL POWER DIVIDER USING A CAPACITIVE LOADED TRANSMISSION LINE Y. Kim * School of Electronic Engineering, Kumoh National

More information

Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer

Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer Youngwoo Kim 1, Jonghyun Cho 1, Kiyeong Kim 1, Venky Sundaram 2, Rao Tummala 2 and Joungho

More information

Dynamic Voltage and Frequency Scaling for Power- Constrained Design using Process Voltage and Temperature Sensor Circuits

Dynamic Voltage and Frequency Scaling for Power- Constrained Design using Process Voltage and Temperature Sensor Circuits Journal of Information Processing Systems, Vol.7, No.1, March 2011 DOI : 10.3745/JIPS.2011.7.1.093 Dynamic Voltage and Frequency Scaling for Power- Constrained Design using Process Voltage and Temperature

More information

MICROWIND2 DSCH2 8. Converters /11/00

MICROWIND2 DSCH2 8. Converters /11/00 8-9 05/11/00 Fig. 8-7. Effect of sampling The effect of sample and hold is illustrated in figure 8-7. When sampling, the transmission gate is turned on so that the sampled data DataOut reaches the value

More information

Low Power Parallel Prefix Adder Design Using Two Phase Adiabatic Logic

Low Power Parallel Prefix Adder Design Using Two Phase Adiabatic Logic Journal of Electrical and Electronic Engineering 2015; 3(6): 181-186 Published online December 7, 2015 (http://www.sciencepublishinggroup.com/j/jeee) doi: 10.11648/j.jeee.20150306.11 ISSN: 2329-1613 (Print);

More information

A TDC based BIST Scheme for Operational Amplifier Jun Yuan a and Wei Wang b

A TDC based BIST Scheme for Operational Amplifier Jun Yuan a and Wei Wang b Applied Mechanics and Materials Submitted: 2014-07-19 ISSN: 1662-7482, Vols. 644-650, pp 3583-3587 Accepted: 2014-07-20 doi:10.4028/www.scientific.net/amm.644-650.3583 Online: 2014-09-22 2014 Trans Tech

More information

Electrical Comparison between TSV in Silicon and TPV in Glass for Interposer and Package Applications

Electrical Comparison between TSV in Silicon and TPV in Glass for Interposer and Package Applications Electrical Comparison between TSV in Silicon and TPV in Glass for Interposer and Package Applications Jialing Tong, Kadppan Panayappan, Venky Sundaram, and Rao Tummala, Fellow, IEEE 3D Systems Packaging

More information

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method Ms. Harshal Meharkure 1, Mr. Swapnil Gourkar 2 1 Lecturer,

More information

Variation-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variation

Variation-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variation 2 IEEE Conference on Microelectronic Test Structures, April 4-7, Amsterdam, The Netherlands 8.2 Variation-sensitive Monitor Circuits for Estimation of Die-to-Die Process Variation Islam A.K.M Mahfuzul,

More information

REFERENCES. [1] P. J. van Wijnen, H. R. Claessen, and E. A. Wolsheimer, A new straightforward

REFERENCES. [1] P. J. van Wijnen, H. R. Claessen, and E. A. Wolsheimer, A new straightforward REFERENCES [1] P. J. van Wijnen, H. R. Claessen, and E. A. Wolsheimer, A new straightforward calibration and correction procedure for on-wafer high-frequency S-parameter measurements (45 MHz 18 GHz), in

More information

Simple odd number frequency divider with 50% duty cycle

Simple odd number frequency divider with 50% duty cycle Simple odd number frequency divider with 50% duty cycle Sangjin Byun 1a), Chung Hwan Son 1, and Jae Joon Kim 2 1 Div. Electronics and Electrical Engineering, Dongguk University - Seoul 26 Pil-dong 3-ga,

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields

Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields Efficient Electromagnetic Analysis of Spiral Inductor Patterned Ground Shields James C. Rautio, James D. Merrill, and Michael J. Kobasa Sonnet Software, North Syracuse, NY, 13212, USA Abstract Patterned

More information

An Area efficient structure for a Dual band Wilkinson power divider with flexible frequency ratios

An Area efficient structure for a Dual band Wilkinson power divider with flexible frequency ratios 1 An Area efficient structure for a Dual band Wilkinson power divider with flexible frequency ratios Jafar Sadique, Under Guidance of Ass. Prof.K.J.Vinoy.E.C.E.Department Abstract In this paper a new design

More information

Highly linear common-gate mixer employing intrinsic second and third order distortion cancellation

Highly linear common-gate mixer employing intrinsic second and third order distortion cancellation Highly linear common-gate mixer employing intrinsic second and third order distortion cancellation Mahdi Parvizi a), and Abdolreza Nabavi b) Microelectronics Laboratory, Tarbiat Modares University, Tehran

More information

Complex Impedance-Transformation Out-of-Phase Power Divider with High Power-Handling Capability

Complex Impedance-Transformation Out-of-Phase Power Divider with High Power-Handling Capability Progress In Electromagnetics Research Letters, Vol. 53, 13 19, 215 Complex Impedance-Transformation Out-of-Phase Power Divider with High Power-Handling Capability Lulu Bei 1, 2, Shen Zhang 2, *, and Kai

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information