Experimental Results for Slow Speed Testing. Experimental Results for Slow Speed Testing. Chao-Wen Tseng

Size: px
Start display at page:

Download "Experimental Results for Slow Speed Testing. Experimental Results for Slow Speed Testing. Chao-Wen Tseng"

Transcription

1 enter for Reliable omputing Experimental Results for Slow Speed Testing hao-wen Tseng enter for Reliable omputing, Stanford University Outline Problem Definition Introduction Test hip Experiments Results Summary 2 hao-wen Tseng Page Nov., 2

2 Problem Definition chieve Good Test Quality Without Expensive Test Equipments Experimental Results Slow Speed Testing Nominal voltage Low voltage IDDQ Testing 3 Outline Problem Definition Introduction Test hip Experiments Results Summary 4 hao-wen Tseng Page 2 Nov., 2

3 Test Quality Test Patterns Test onditions Voltage Temperature Speed Introductions Test Patterns Metric Stuck-at Fault overage N-detect overage TRO overage omparison Experiments Murphy [Mcluskey ] [Li ] [Tseng ] ELF3 ELF3 Sematech [Nigh 7] 6 hao-wen Tseng Page 3 Nov., 2

4 Test onditions Voltage Very-Low-Voltage [Hong 3][hang 6][Tseng ] Reliability Defects Timing Defects Hard Failures SHOVE [hang 7] Reliability Defect Defective Oxides Timing Defects Resistive Vias 7 Test onditions Temperature Temperature oefficient (T) Defects Low Temperature Testing Silicide Opens [Tseng ] Resistance Negative T Low temperature Higher Resistance Timing Failures MINVDD Outliers hao-wen Tseng Page 4 Nov., 2

5 Speed Full-speed Testing Timing Failures Test onditions Resistive Opens [Li ] Expensive Tester Slow Speed Testing More escapes How much?? Outline Problem Definition Introduction Test hip Experiments Results Summary hao-wen Tseng Page Nov., 2

6 Murphy hip LSI Logic k MOS Gate rray (with rosscheck embedded array) 2k gate design 2-pin eramic PG package 6 signal pins L eff =.7µm Normal VDD = V Murphy hip Special purpose chip design different combinational circuit designs 3 control logic designs 2 data path designs 4 copies of each UT Support (DFT) circuitry 2 hao-wen Tseng Page 6 Nov., 2

7 ELF 3 hip LSI Logic GP technology L eff =.3µm 264k gates 272-pin Plastic BG package 3.3V Normal VDD 6 UT designs 2 sequential (2 s) with full scan 4 combinational translator, 3 data path 3 Outlines Problem Definition Introduction Test hip Experiments Results Test Speed Test Patterns Summary 4 hao-wen Tseng Page 7 Nov., 2

8 Failed Speed hip Failed Different Test Sets % SSF SSF N-detect % Transition TRO Different Voltages NV VLV Test Speeds Murphy SSF TRNSITION Others TRO omments E E s@ (NND) 2 E E E s@ (NND) 3 E s@ (NOR) 4 E s@ (NOR) E E s@ (NOT) 6 E E s@ (ND) 7 E E s@ (NOR) E E E E s@ (OR) E E E E E E E E E E 2 E E E 3 E E E 6 hao-wen Tseng Page Nov., 2

9 Murphy (ont.) SSF TRNSITION TRO omments STDE E E E E E E E E E VLV Fail all 2 E E VLV Fail all TDE 3 E E 4 E E R.O. (NOT) E E E E E E E E 2 E E SDE 3 E 4 E E E E E E E E E E E E E 6 E E E E S.O (NOR) 7 E E E S.O (NOR) E E E E E S.O (NND) 7 Murphy Penalty % full speed Fault Model Tool overage SSF Penalty TR T R O hao-wen Tseng Page Nov., 2

10 Slow Speed Testing 3 escapes Murphy (ont.) TDE-, TDE-2, TDE-4 6 dpm Defect Level Other chips Failed Very slow speeds (3% of the full speed) Murphy (cont.) VLV & IDDQ Detect 2 more chips TDE-, TDE-2 UT Name Murphy Failed test speed at nominal voltage VLV testing % full speed IDDQ testing TDD- % FIL PSS TDE-2 % FIL FIL TDE-4 % PSS PSS 2 hao-wen Tseng Page Nov., 2

11 ELF3, ombinational Penalty % full speed Fault model SSF D * TR Tool 6/7 ** 2 coverage 3 N penalty * D path delay test set, fault coverage is not available ** N-detect test sets (tool 7 for PB, tool 6 for the others) 2 Penalty % full speed Fault model Tool coverage ELF3, Sequential SSF 4 * S E Q TR penalty 3 * is an academic sequential TPG tool, fault coverage not available 22 hao-wen Tseng Page Nov., 2

12 Fault Models overage Test Patterns 23 Fault Model Tool 2 Murphy 3 overage % Speed % % % % % *N-detect test sets 24 SSF TR T R O hao-wen Tseng Page 2 Nov., 2

13 Test Patterns: Murphy (ont.) % slower 3 escapes % fewer coverage 3 escapes Murphy Full Speed, % overage 2 % Speed, % overage Full Speed, % overage 2 Summary Slow Speed Testing Test escapes Not much Reduce Escapes Slow speed NV and VLV IDDQ Speed vs. overage overage more important 26 hao-wen Tseng Page 3 Nov., 2

I DDQ Current Testing

I DDQ Current Testing I DDQ Current Testing Motivation Early 99 s Fabrication Line had 5 to defects per million (dpm) chips IBM wanted to get 3.4 defects per million (dpm) chips Conventional way to reduce defects: Increasing

More information

Detecting Resistive Shorts for CMOS Domino Circuits

Detecting Resistive Shorts for CMOS Domino Circuits Detecting Resistive Shorts for MOS Domino ircuits Jonathan T.-Y. hang and Edward J. Mcluskey enter for Reliable omputing Stanford University Gates Hall 2 Stanford, 94305 STRT We investigate defects in

More information

VLSI Design Verification and Test Delay Faults II CMPE 646

VLSI Design Verification and Test Delay Faults II CMPE 646 Path Counting The number of paths can be an exponential function of the # of gates. Parallel multipliers are notorious for having huge numbers of paths. It is possible to efficiently count paths in spite

More information

Testing Digital Systems II

Testing Digital Systems II Lecture : Introduction Instructor: M. Tahoori Copyright 206, M. Tahoori TDS II: Lecture Today s Lecture Logistics Course Outline Review from TDS I Copyright 206, M. Tahoori TDS II: Lecture 2 Lecture Logistics

More information

IDDQ and Diagnosis. Outline. I DDQ and Diagnosis. Introduction. Definition of Diagnosis. Why Diagnosis? Test and Diagnosis Flow

IDDQ and Diagnosis. Outline. I DDQ and Diagnosis. Introduction. Definition of Diagnosis. Why Diagnosis? Test and Diagnosis Flow Center for RC eliable omputing I and Diagnosis Stanford University ugust 16, 1999 Outline Introduction oolean Diagnosis ridging Fault Diagnosis Problems I Diagnosis Future Research Topics Summary 1 2 Introduction

More information

Resistive Bridge Fault Modeling, Simulation and Test Generation 1

Resistive Bridge Fault Modeling, Simulation and Test Generation 1 Resistive Bridge Fault Modeling, Simulation and Test Generation Vijay R. Sar-Dessai Intel orporation, FM5-64 900 rairie ity Road Folsom A 95630 Tel: (96) 356-759 Fax: (96) (96) 377-300 Email: vijay.sar-dessai@intel.com

More information

EECS 427 Lecture 21: Design for Test (DFT) Reminders

EECS 427 Lecture 21: Design for Test (DFT) Reminders EECS 427 Lecture 21: Design for Test (DFT) Readings: Insert H.3, CBF Ch 25 EECS 427 F09 Lecture 21 1 Reminders One more deadline Finish your project by Dec. 14 Schematic, layout, simulations, and final

More information

Yield, Reliability and Testing. Technical University of Lodz - Department of Microelectronics and Computer Science

Yield, Reliability and Testing. Technical University of Lodz - Department of Microelectronics and Computer Science Yield, Reliability and Testing The Progressive Trend of IC Technology Integration level Year Number of transistors DRAM integration SSI 1950s less than 10 2 MSI 1960s 10 2-10 3 LSI 1970s 10 3-10 5 4K,

More information

EECS 579 Fall What is Testing?

EECS 579 Fall What is Testing? EECS 579 Fall 2001 Recap Text (new): Essentials of Electronic Testing by M. Bushnell & V. Agrawal, Kluwer, Boston, 2000. Class Home Page: http://www.eecs.umich.edu/courses/eecs579 Lecture notes and other

More information

Reliable Electronics? Precise Current Measurements May Tell You Otherwise. Hans Manhaeve. Ridgetop Europe

Reliable Electronics? Precise Current Measurements May Tell You Otherwise. Hans Manhaeve. Ridgetop Europe Reliable Electronics? Precise Current Measurements May Tell You Otherwise Hans Manhaeve Overview Reliable Electronics Precise current measurements? Accurate - Accuracy Resolution Repeatability Understanding

More information

TESTING DSM ASIC WITH STATIC, IDDQ, AND DYNAMIC TEST SUITE: IMPLEMENTATION AND RESULTS

TESTING DSM ASIC WITH STATIC, IDDQ, AND DYNAMIC TEST SUITE: IMPLEMENTATION AND RESULTS TESTING DSM ASIC WITH STATIC, IDDQ, AND DYNAMIC TEST SUITE: IMPLEMENTATION AND RESULTS Yoshihito Nishizaki, Osamu Nakayama, Chiaki Matsumoto, Yoshitaka Kimura, Toshimi Kobayashi, and Hiroyuki Nakamura

More information

Quantitative Analysis of Very-Low-Voltage Testing

Quantitative Analysis of Very-Low-Voltage Testing Quantitative nalysis of Very-Low-Voltage Testing Jonathan T.-Y. Chang and Edward J. McCluskey Center for Reliable Computing Stanford University, Stanford, C bstract Some weak static CMOS chips can be detected

More information

Evaluating the Effectiveness of Physically-Aware N-Detect Test using Real Silicon

Evaluating the Effectiveness of Physically-Aware N-Detect Test using Real Silicon 2008 IEEE. Personal use of this material is permitted. Permission from IEEE must be obtained for all other uses, in any current or future media, including reprinting/republishing this material for advertising

More information

Design considerations (D)

Design considerations (D) 7/31/2011 15 Design considerations (D) In order to properly design a system, the designer must consider other items than just the logic of the circuit. We will discuss: Power onsumption Propagation delays

More information

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar

Testing of Complex Digital Chips. Juri Schmidt Advanced Seminar Testing of Complex Digital Chips Juri Schmidt Advanced Seminar - 11.02.2013 Outline Motivation Why testing is necessary Background Chip manufacturing Yield Reasons for bad Chips Design for Testability

More information

VLSI Testing. Yield Analysis & Fault Modeling. Virendra Singh Indian Institute of Science Bangalore

VLSI Testing. Yield Analysis & Fault Modeling. Virendra Singh Indian Institute of Science Bangalore VLSI Testing Yield Analysis & Fault Modeling Virendra Singh Indian Institute of Science Bangalore virendra@computer.org E0 286: Test & Verification of SoC Design Lecture - 2 VLSI Chip Yield A manufacturing

More information

Design for Test for Digital ICs and Embedded Core Systems. Digital System Testing and Testable Design

Design for Test for Digital ICs and Embedded Core Systems. Digital System Testing and Testable Design Books A. Crouch. Design for Test for Digital ICs and Embedded Core Systems Prentice Hall, 1999. M. Abramovici, M. Breuer, A. Friedman. Digital System Testing and Testable Design Computer Science Press,

More information

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1

Lecture 16: Design for Testability. MAH, AEN EE271 Lecture 16 1 Lecture 16: Testing, Design for Testability MAH, AEN EE271 Lecture 16 1 Overview Reading W&E 7.1-7.3 - Testing Introduction Up to this place in the class we have spent all of time trying to figure out

More information

A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems. Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University

A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems. Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University A Built-In Self-Test Approach for Analog Circuits in Mixed-Signal Systems Chuck Stroud Dept. of Electrical & Computer Engineering Auburn University Outline of Presentation Need for Test & Overview of BIST

More information

Design for Testability & Design for Debug

Design for Testability & Design for Debug EE-382M VLSI II Design for Testability & Design for Debug Bob Molyneaux Mark McDermott Anil Sabbavarapu EE 382M Class Notes Foil # 1 The University of Texas at Austin Agenda Why test? Scan: What is it?

More information

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Project Presentations

EE241 - Spring 2000 Advanced Digital Integrated Circuits. Project Presentations EE241 - Spring 2000 Advanced Digital Integrated Circuits Lecture 28 Memory Project Presentations 293 Cory Tuesday, May 2, 2-4pm o Murmann, Baytekin o Borinski, Dogan, Markow o Smilkstein, Wong o Zanella,

More information

QDI Fine-Grain Pipeline Templates

QDI Fine-Grain Pipeline Templates QDI Fine-Grain Pipeline Templates Peter. eerel University of Southern alifornia Outline synchronous Latches Fine Grain Pipelining Weak ondition Half uffer Template uffer Logic Examples Precharge Full uffer

More information

BiCMOS Circuit Design

BiCMOS Circuit Design BiCMOS Circuit Design 1. Introduction to BiCMOS 2. Process, Device, and Modeling 3. BiCMOS Digital Circuit Design 4. BiCMOS Analog Circuit Design 5. BiCMOS Subsystems and Practical Considerations Tai-Haur

More information

GRAPHIC ERA UNIVERSITY DEHRADUN

GRAPHIC ERA UNIVERSITY DEHRADUN GRAPHIC ERA UNIVERSITY DEHRADUN Name of Department: - Electronics and Communication Engineering 1. Subject Code: TEC 2 Course Title: CMOS Analog Circuit Design 2. Contact Hours: L: 3 T: 1 P: 3. Examination

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad - 500 0 ELECTRONICS AND COMMUNICATION ENGINEERING TUTORIAL QUESTION BANK Name : VLSI Design Code : A0 Regulation : R5 Structure :

More information

Testing Digital Systems II. Problem: Fault Diagnosis

Testing Digital Systems II. Problem: Fault Diagnosis Testing Digital Systems II Lecture : Logic Diagnosis Instructor: M. Tahoori Copyright 26, M. Tahoori TDSII: Lecture Problem: Fault Diagnosis test patterns Circuit Under Diagnosis (CUD) expected response

More information

[9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings

[9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings [9] Tracy Larrabee. Ecient generation of test patterns using Boolean Dierence. In Proceedings of International Test Conference, pages 795{801. IEEE, 1989. [10] Kuen-Jong Lee and Melvin A Breuer. Constraints

More information

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2

Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Recursive Pseudo-Exhaustive Two-Pattern Generator PRIYANSHU PANDEY 1, VINOD KAPSE 2 1 M.TECH IV SEM, HOD 2 Abstract Pseudo-exhaustive pattern generators for built-in self-test (BIST) provide high fault

More information

Exploring the Basics of AC Scan

Exploring the Basics of AC Scan Page 1 of 8 Exploring the Basics of AC Scan by Alfred L. Crouch, Inovys This in-depth discussion of scan-based testing explores the benefits, implementation, and possible problems of AC scan. Today s large,

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit

Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit I J C T A, 9(15), 2016, pp. 7465-7470 International Science Press Oscillation Ring Test Using Modified State Register Cell For Synchronous Sequential Circuit B. Gobinath* and B. Viswanathan** ABSTRACT

More information

Lecture Integrated circuits era

Lecture Integrated circuits era Lecture 1 1.1 Integrated circuits era Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell laboratories. In 1961, first IC was introduced. Levels of Integration:-

More information

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques

Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Signature Anaysis For Small Delay Defect Detection Delay Measurement Techniques Ananda S.Paymode.Dnyaneshwar K.Padol. Santosh B.Lukare. Asst. Professor, Dept. of E & TC, LGNSCOE,Nashik,UO Pune, MaharashtraIndia

More information

ICID Tracing Individual Die from Wafer Test through End Of Life

ICID Tracing Individual Die from Wafer Test through End Of Life ICID Tracing Individual Die from Wafer Test through End Of Life Keith Lofstrom [1], David Castaneda [2],, Brian Graff [2], Anthony Cabbibo [2] [1] SiidTech, Beaverton, Oregon http//www.siidtech.com [2]

More information

Interconnect testing of FPGA

Interconnect testing of FPGA Center for RC eliable omputing Interconnect Testing of FPGA Stanford CRC March 12, 2001 Problem Statement Detecting all faults in FPGA interconnect resources Wire segments Programmable interconnect points

More information

100mA CHARGE PUMP DC-TO-DC VOLTAGE CONVERTER

100mA CHARGE PUMP DC-TO-DC VOLTAGE CONVERTER 00 ma CHARGE PUMP DC-TO-DC EVALUATION KIT AVAILABLE 00mA CHARGE PUMP DC-TO-DC FEATURES Pin Compatible with TC0 High Output Current... 00mA Converts (.V to.v) to (.V to.v) Power Efficiency @00mA... % typ

More information

Wafer Signature Analysis of I DDQ Test Data

Wafer Signature Analysis of I DDQ Test Data Wafer Signature Analysis of I DDQ Test Data Sagar S. Sabade D. M. H. Walker Department of Computer Science Texas A&M University College Station, TX 77843-32 Phone: (979) 862-4387 Fax: (979) 847-8578 E-mail:

More information

Comparison of I DDQ testing and Very-Low Voltage testing

Comparison of I DDQ testing and Very-Low Voltage testing Comparison of I DDQ testing and Very-Low Voltage testing Bram Kruseman, Stefan van den Oetelaar, and Josep Rius * Philips Research Laboratories, WAY41, Prof. Holstlaan 4, 5656 AA Eindhoven, The etherlands

More information

On-Chip Automatic Analog Functional Testing and Measurements

On-Chip Automatic Analog Functional Testing and Measurements On-Chip Automatic Analog Functional Testing and Measurements Chuck Stroud, Foster Dai, and Dayu Yang Electrical & Computer Engineering Auburn University from presentation to Select Universities Technology,

More information

TC7660S SUPER CHARGE PUMP DC-TO-DC VOLTAGE CONVERTER TC7660S GENERAL DESCRIPTION FEATURES ORDERING INFORMATION

TC7660S SUPER CHARGE PUMP DC-TO-DC VOLTAGE CONVERTER TC7660S GENERAL DESCRIPTION FEATURES ORDERING INFORMATION EVALUATION KIT AVAILABLE SUPER CHARGE PUMP DC-TO-DC FEATURES Oscillator boost from khz to khz Converts V Logic Supply to ±V System Wide Input Voltage Range....V to V Efficient Voltage Conversion... 99.9%

More information

Gates and Circuits 1

Gates and Circuits 1 1 Gates and Circuits Chapter Goals Identify the basic gates and describe the behavior of each Describe how gates are implemented using transistors Combine basic gates into circuits Describe the behavior

More information

Research Challenges in Test and Testability Semiconductor Research Corporation August 17, 2006

Research Challenges in Test and Testability Semiconductor Research Corporation August 17, 2006 Introduction Research Challenges in Test and Testability Semiconductor Research Corporation August 17, 2006 Test and design for testability are recognized today as critical to a successful design and manufacturing

More information

Improved DFT for Testing Power Switches

Improved DFT for Testing Power Switches Improved DFT for Testing Power Switches Saqib Khursheed, Sheng Yang, Bashir M. Al-Hashimi, Xiaoyu Huang School of Electronics and Computer Science University of Southampton, UK. Email: {ssk, sy8r, bmah,

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

Design of Low Power Vlsi Circuits Using Cascode Logic Style

Design of Low Power Vlsi Circuits Using Cascode Logic Style Design of Low Power Vlsi Circuits Using Cascode Logic Style Revathi Loganathan 1, Deepika.P 2, Department of EST, 1 -Velalar College of Enginering & Technology, 2- Nandha Engineering College,Erode,Tamilnadu,India

More information

ISL6536A. Four Channel Supervisory IC. Features. Applications. Typical Application Schematic. Ordering Information. Data Sheet May 2004 FN9136.

ISL6536A. Four Channel Supervisory IC. Features. Applications. Typical Application Schematic. Ordering Information. Data Sheet May 2004 FN9136. ISL6536A Data Sheet May 2004 FN9136.1 Four Channel Supervisory IC The ISL6536A is a four channel supervisory IC designed to monitor voltages >, = 0.7V. This IC bias range is from 2.7V to 5V but can supervise

More information

Chapter 1 Introduction to VLSI Testing

Chapter 1 Introduction to VLSI Testing Chapter 1 Introduction to VLSI Testing 2 Goal of this Lecture l Understand the process of testing l Familiar with terms used in testing l View testing as a problem of economics 3 Introduction to IC Testing

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

ELM313 Stepper Motor Controller

ELM313 Stepper Motor Controller EM per Motor ontroller Description The EM is an interface circuit for use between high speed logic and four phase stepper motor driver circuits. All of the logic required to provide stepping in two directions

More information

Supertex inc. MD1210. High Speed Dual MOSFET Driver. Supertex MD1210. Features. General Description. Applications. Typical Application Circuit

Supertex inc. MD1210. High Speed Dual MOSFET Driver. Supertex MD1210. Features. General Description. Applications. Typical Application Circuit Supertex inc. MD0 High Speed Dual MOSFET Driver Features 6ns rise and fall time with 000pF load.0a peak output source/sink current.v to 5.0V input CMOS compatible 4.5V to 3V single positive supply voltage

More information

SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER

SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER SAF ANALYSES OF ANALOG AND MIXED SIGNAL VLSI CIRCUIT: DIGITAL TO ANALOG CONVERTER ABSTRACT Vaishali Dhare 1 and Usha Mehta 2 1 Assistant Professor, Institute of Technology, Nirma University, Ahmedabad

More information

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method

Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method Fault Testing of Analog Circuits Using Combination of Oscillation Based Built-In Self- Test and Quiescent Power Supply Current Testing Method Ms. Harshal Meharkure 1, Mr. Swapnil Gourkar 2 1 Lecturer,

More information

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT

Learning Outcomes. Spiral 2 8. Digital Design Overview LAYOUT 2-8.1 2-8.2 Spiral 2 8 Cell Mark Redekopp earning Outcomes I understand how a digital circuit is composed of layers of materials forming transistors and wires I understand how each layer is expressed as

More information

Engr354: Digital Logic Circuits

Engr354: Digital Logic Circuits Engr354: Digital Logic Circuits Chapter 3: Implementation Technology Curtis Nelson Chapter 3 Overview In this chapter you will learn about: How transistors are used as switches; Integrated circuit technology;

More information

ENG2410 Digital Design CMOS Technology. Fall 2017 S. Areibi School of Engineering University of Guelph

ENG2410 Digital Design CMOS Technology. Fall 2017 S. Areibi School of Engineering University of Guelph ENG2410 Digital Design CMOS Technology Fall 2017 S. reibi School of Engineering University of Guelph The Transistor Revolution First transistor Bell Labs, 1948 Bipolar logic 1960 s Intel 4004 processor

More information

Finding Best Voltage and Frequency to Shorten Power-Constrained Test Time

Finding Best Voltage and Frequency to Shorten Power-Constrained Test Time 2013 31st IEEE VLSI Test Symposium (VTS) Finding Best Voltage and Frequency to Shorten Power-Constrained Test Time Praveen Venkataramani, Suraj Sindia and Vishwani D. Agrawal Department of Electrical and

More information

VLSI System Testing. Outline

VLSI System Testing. Outline ECE 538 VLSI System Testing Krish Chakrabarty System-on-Chip (SOC) Testing ECE 538 Krish Chakrabarty 1 Outline Motivation for modular testing of SOCs Wrapper design IEEE 1500 Standard Optimization Test

More information

Circuit-Level Modeling for Concurrent Testing of Operational Defects due to Gate Oxide Breakdown

Circuit-Level Modeling for Concurrent Testing of Operational Defects due to Gate Oxide Breakdown ppears in the Proceedings of the 5 Design, utomation, and Test in Europe (DTE) Munich, Germany, March 7-, 5 Circuit-Level Modeling for Concurrent Testing of Operational Defects due to Gate Oxide reakdown

More information

Accurate Fault Modeling and Fault Simulation of Resistive Bridges

Accurate Fault Modeling and Fault Simulation of Resistive Bridges Accurate Fault Modeling and Fault Simulation of Resistive Bridges Vijay Sar-Dessai D. M. H. Walker Dept. of Electrical Engineering Dept. of Computer Science Texas A&M University Texas A&M University College

More information

UNIT III VLSI CIRCUIT DESIGN PROCESSES. In this chapter we will be studying how to get the schematic into stick diagrams or layouts.

UNIT III VLSI CIRCUIT DESIGN PROCESSES. In this chapter we will be studying how to get the schematic into stick diagrams or layouts. UNIT III VLSI CIRCUIT DESIGN PROCESSES In this chapter we will be studying how to get the schematic into stick diagrams or layouts. MOS circuits are formed on four basic layers: N-diffusion P-diffusion

More information

VLSI testing Introduction

VLSI testing Introduction VLSI testing Introduction Virendra Singh Associate Professor Computer Architecture and Dependable Systems Lab Dept. of Electrical Engineering Indian Institute of Technology Bombay, Mumbai viren@ee.iitb.ac.in

More information

In this experiment you will study the characteristics of a CMOS NAND gate.

In this experiment you will study the characteristics of a CMOS NAND gate. Introduction Be sure to print a copy of Experiment #12 and bring it with you to lab. There will not be any experiment copies available in the lab. Also bring graph paper (cm cm is best). Purpose In this

More information

COMPARATIVE ANALYSIS OF 32 BIT CARRY LOOK AHEAD ADDER USING HIGH SPEED CONSTANT DELAY LOGIC

COMPARATIVE ANALYSIS OF 32 BIT CARRY LOOK AHEAD ADDER USING HIGH SPEED CONSTANT DELAY LOGIC COMPARATIVE ANALYSIS OF 32 BIT CARRY LOOK AHEAD ADDER USING HIGH SPEED CONSTANT DELAY LOGIC V.Reethika Rao (1), Dr.K.Ragini (2) PG Scholar, Dept of ECE, G. Narayanamma Institute of Technology and Science,

More information

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University

Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University Lecture 6: Electronics Beyond the Logic Switches Xufeng Kou School of Information Science and Technology ShanghaiTech University EE 224 Solid State Electronics II Lecture 3: Lattice and symmetry 1 Outline

More information

1 IC Logic Families and Characteristics

1 IC Logic Families and Characteristics 2141 Electronics and Instrumentation IC1 1 IC Logic Families and Characteristics 1.1 Introduction miniature, low-cost electronics circuits whose components are fabricated on a single, continuous piece

More information

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation

A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation A Software Technique to Improve Yield of Processor Chips in Presence of Ultra-Leaky SRAM Cells Caused by Process Variation Maziar Goudarzi, Tohru Ishihara, Hiroto Yasuura System LSI Research Center Kyushu

More information

HITFET BTS3800SL. Datasheet. Automotive. Smart Low Side Power Switch. Small Protected Automotive Relay Driver Single Channel, 800mΩ

HITFET BTS3800SL. Datasheet. Automotive. Smart Low Side Power Switch. Small Protected Automotive Relay Driver Single Channel, 800mΩ HITFET Smart Low Side Power Switch BTS3800SL Small Protected Automotive Relay Driver Single Channel, 800mΩ Datasheet Rev. 1.1, 2011-04-30 Automotive 1 Overview.......................................................................

More information

OBSOLETE TTL/CMOS INPUTS* TTL/CMOS OUTPUTS TTL/CMOS TTL/CMOS OUTPUTS DO NOT MAKE CONNECTIONS TO THESE PINS INTERNAL 10V POWER SUPPLY

OBSOLETE TTL/CMOS INPUTS* TTL/CMOS OUTPUTS TTL/CMOS TTL/CMOS OUTPUTS DO NOT MAKE CONNECTIONS TO THESE PINS INTERNAL 10V POWER SUPPLY a FEATURES kb Transmission Rate ADM: Small (. F) Charge Pump Capacitors ADM3: No External Capacitors Required Single V Power Supply Meets EIA-3-E and V. Specifications Two Drivers and Two Receivers On-Board

More information

Synthesis of Combinational Logic

Synthesis of Combinational Logic Synthesis of ombinational Logic 6.4 Gates F = xor Handouts: Lecture Slides, PS3, Lab2 6.4 - Spring 2 2/2/ L5 Logic Synthesis Review: K-map Minimization ) opy truth table into K-Map 2) Identify subcubes,

More information

General Structure of MOS Inverter

General Structure of MOS Inverter General Structure of MOS Inverter Load V i Drive Department of Microelectronics and omputer Science, TUL Digital MOS ircuits Families Digital MOS ircuits PMOS NMOS MOS BiMOS Depletion mode load Enhancement

More information

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002

Overview ECE 553: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES. Motivation. Modeling Levels. Hierarchical Model: A Full-Adder 9/6/2002 Overview ECE 3: TESTING AND TESTABLE DESIGN OF DIGITAL SYSTES Logic and Fault Modeling Motivation Logic Modeling Model types Models at different levels of abstractions Models and definitions Fault Modeling

More information

A Circuit Level Fault Model for Resistive Shorts of MOS Gate Oxide

A Circuit Level Fault Model for Resistive Shorts of MOS Gate Oxide Circuit Level Fault Moel for esistive Shorts of MOS Gate Oxie Xiang Lu, Zhuo Li, Wangqi Qiu, D. M. H. Walker an Weiping Shi Dept. of Electrical Engineering Texas &M University College Station, TX 77843-34,

More information

DESIGN OF SIGNATURE REGISTERS USING SCAN FLIP-FLOPS FOR ON-CHIP DELAY MEASUREMENT

DESIGN OF SIGNATURE REGISTERS USING SCAN FLIP-FLOPS FOR ON-CHIP DELAY MEASUREMENT Int. J. Elec&Electr.Eng&Telecoms. 2013 P M Nasir Hussain and G V Suresh Babu, 2013 Research Paper ISSN 2319 2518 www.ijeetc.com Vol. 2, No. 4, October 2013 2013 IJEETC. All Rights Reserved DESIGN OF SIGNATURE

More information

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1

Dr. Ralf Sommer. Munich, March 8th, 2006 COM BTS DAT DF AMF. Presenter Dept Titel presentation Date Page 1 DATE 2006 Special Session: DFM/DFY Design for Manufacturability and Yield - Influence of Process Variations in Digital, Analog and Mixed-Signal Circuit Design DATE 06 Munich, March 8th, 2006 Presenter

More information

Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis

Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis Improving Test Coverage and Eliminating Test Escapes Using Analog Defect Analysis Art Schaldenbrand, Dr. Walter Hartong, Amit Bajaj, Hany Elhak, and Vladimir Zivkovic, Cadence While the analog and mixed-signal

More information

Reliability and Energy Dissipation in Ultra Deep Submicron Designs

Reliability and Energy Dissipation in Ultra Deep Submicron Designs Reliability and Energy Dissipation in Ultra Deep Submicron Designs 5/19/2005 page 1 Reliability and Energy Dissipation in Ultra Deep Submicron Designs Frank Sill 31 th March 2005 5/19/2005 page 2 Outline

More information

Programmable Logic Arrays (PLAs)

Programmable Logic Arrays (PLAs) Programmable Logic Regular logic Programmable Logic rrays Multiplexers/ecoders ROMs Field Programmable Gate rrays Xilinx Vertex Random Logic Full ustom esign S 5 - Fall 25 Lec. #3: Programmable Logic -

More information

Self-Test Designs in Devices of Avionics

Self-Test Designs in Devices of Avionics International Conference on Engineering Education and Research Progress Through Partnership 2004 VŠB-TUO, Ostrava, ISSN 1562-3580 Self-Test Designs in Devices of Avionics Yun-Che WEN, Yei-Chin CHAO Tzong-Shyng

More information

Integrated Circuits -- Timing Behavior of Gates

Integrated Circuits -- Timing Behavior of Gates Integrated Circuits -- Timing ehavior of Gates Page 1 Gates Have Non-Linear Input/Output ehavior V cc V out 0V V in V cc Plotting Vout vs. Vin shows non-linear voltage behavior Page 2 Gates lso Don t React

More information

Prioritized Prime Implicant Patterns Puzzle for Novel Logic Synthesis and Optimization

Prioritized Prime Implicant Patterns Puzzle for Novel Logic Synthesis and Optimization Prioritized Prime Implicant Patterns Puzzle for Novel Logic Synthesis and Optimization Kuo-Hsing heng* and Shun-Wen heng Department of Electrical Engineering, Tamkang University, TIWN E-mail: cheng@ee.tku.edu.tw*

More information

On Built-In Self-Test for Adders

On Built-In Self-Test for Adders On Built-In Self-Test for s Mary D. Pulukuri and Charles E. Stroud Dept. of Electrical and Computer Engineering, Auburn University, Alabama Abstract - We evaluate some previously proposed test approaches

More information

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style

Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style International Journal of Advancements in Research & Technology, Volume 1, Issue3, August-2012 1 Designing of Low-Power VLSI Circuits using Non-Clocked Logic Style Vishal Sharma #, Jitendra Kaushal Srivastava

More information

High Speed, +5 V, 0.1 F CMOS RS-232 Driver/Receivers ADM202/ADM203

High Speed, +5 V, 0.1 F CMOS RS-232 Driver/Receivers ADM202/ADM203 a FEATURES kb Transmission Rate ADM: Small (. F) Charge Pump Capacitors ADM: No External Capacitors Required Single V Power Supply Meets EIA--E and V. Specifications Two Drivers and Two Receivers On-Board

More information

Copyright 2000 N. AYDIN. All rights reserved. 1

Copyright 2000 N. AYDIN. All rights reserved. 1 Introduction to igital Prof Nizamettin IN naydin@yildizedutr naydin@ieeeorg ourse Outline igital omputers, Number Systems, rithmetic Operations, ecimal, lphanumeric, and Gray odes 2 inary, Gates, oolean

More information

Current-Based Testing for Deep-Submicron VLSIs

Current-Based Testing for Deep-Submicron VLSIs urrent-ased Testing urrent-ased Testing for Deep-Submicron VLSIs Manoj Sachdev University of Waterloo 76 urrent-based testing for deep-submicron VLSIs is important because of transistor sensitivity to

More information

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic

Introduction to CMOS VLSI Design (E158) Lecture 5: Logic Harris Introduction to CMOS VLSI Design (E158) Lecture 5: Logic David Harris Harvey Mudd College David_Harris@hmc.edu Based on EE271 developed by Mark Horowitz, Stanford University MAH E158 Lecture 5 1

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

Supertex inc. HV Channel Serial to Parallel Converter With Open Drain Outputs. Features. General Description. Functional Block Diagram

Supertex inc. HV Channel Serial to Parallel Converter With Open Drain Outputs. Features. General Description. Functional Block Diagram 32-Channel Serial to Parallel Converter With Open Drain Outputs Features Processed with HVCMOS technology Output voltages to 225V using a ramped supply voltage SINK current minimum 100mA Shift register

More information

LS7362 BRUSHLESS DC MOTOR COMMUTATOR / CONTROLLER

LS7362 BRUSHLESS DC MOTOR COMMUTATOR / CONTROLLER LS7362 BRUSHLESS DC MOTOR COMMUTATOR / CONTROLLER FEATURES: Speed control by Pulse Width Modulating (PWM) only the low-side drivers reduces switching losses in level converter circuitry for high voltage

More information

I DDX -based Test Methods: A Survey

I DDX -based Test Methods: A Survey I DDX -based Test Methods: A Survey SAGAR S. SABADE AND DUNCAN. M. WALKER Texas A&M University Abstract Supply current measurement-based test is a valuable defect-based test method for semiconductor chips.

More information

Iddq Testing for CMOS VLSI

Iddq Testing for CMOS VLSI Iddq Testing for CMOS VLSI Rochit Rajsuman, SENIOR MEMBER, IEEE It is little more than 15-years since the idea of Iddq testing was first proposed. Many semiconductor companies now consider Iddq testing

More information

Programmable Logic Arrays (PLAs)

Programmable Logic Arrays (PLAs) Programmable Logic! Regular logic " Programmable Logic rrays " Multiplexers/ecoders " ROMs! Field Programmable Gate rrays " Xilinx Vertex Random Logic Full ustom esign S 5 - Spring 27 Lec. #3: Programmable

More information

SS421 Series. Solid State Hall-effect Sensors Adjustable Hall-Effect Under-speed Detectors

SS421 Series. Solid State Hall-effect Sensors Adjustable Hall-Effect Under-speed Detectors FEATURES Wide temperature ranges for design flexibility Temperature compensated for consistent operation with low-cost magnets Bipolar operation for easy RPM counting using ring magnets Built-in timing

More information

Integrated Circuits & Systems

Integrated Circuits & Systems Federal University of Santa atarina enter for Technology omputer Science & Electronics Engineering Integrated ircuits & Systems INE 5442 Lecture 16 MOS ombinational ircuits - 2 guntzel@inf.ufsc.br Pass

More information

Features. = +25 C, Vdd= 8V, Vgg2= 3V, Idd= 290 ma [1]

Features. = +25 C, Vdd= 8V, Vgg2= 3V, Idd= 290 ma [1] Typical Applications The is ideal for: Telecom Infrastructure Microwave Radio & VSAT Military EW, ECM & C 3 I Test Instrumentation Fiber Optics Functional Diagram Features P1dB Output Power: + dbm Gain:

More information

CMOS Process Variations: A Critical Operation Point Hypothesis

CMOS Process Variations: A Critical Operation Point Hypothesis CMOS Process Variations: A Critical Operation Point Hypothesis Janak H. Patel Department of Electrical and Computer Engineering University of Illinois at Urbana-Champaign jhpatel@uiuc.edu Computer Systems

More information

A Literature Survey on Low PDP Adder Circuits

A Literature Survey on Low PDP Adder Circuits Available Online at www.ijcsmc.com International Journal of Computer Science and Mobile Computing A Monthly Journal of Computer Science and Information Technology IJCSMC, Vol. 4, Issue. 12, December 2015,

More information

Diagnosis of Resistive-Open Defects using I DDT in Digital CMOS Circuits

Diagnosis of Resistive-Open Defects using I DDT in Digital CMOS Circuits Diagnosis of Resistive-Open Defects using I DDT in Digital CMOS Circuits Changgeng Yu 1,2 ; Guixiong Liu 1 ;Liping Lai 2 1 School of Mechanical & Automotive Engineering, South China University of Technology,

More information

32-Channel Serial to Parallel Converter With High Voltage Push-Pull Outputs VPP. High Voltage. Level Translators & Push-Pull Output Buffers

32-Channel Serial to Parallel Converter With High Voltage Push-Pull Outputs VPP. High Voltage. Level Translators & Push-Pull Output Buffers 32-Channel Serial to Parallel Converter With High Voltage Push-Pull Outputs Features Processed with HVCMOS technology Low power level shifting Source/sink current minimum 20mA Shift register speed 8.0MHz

More information

Energy Efficient Circuit Design and the Future of Power Delivery

Energy Efficient Circuit Design and the Future of Power Delivery Energy Efficient Circuit Design and the Future of Power Delivery Greg Taylor EPEPS 2009 Outline Looking back Energy efficiency in CMOS Side effects Suggestions Conclusion 2 Looking Back Microprocessor

More information