An HF SSB Software Defined Radio

Size: px
Start display at page:

Download "An HF SSB Software Defined Radio"

Transcription

1 By Dick Benson, WG (v.) An HF SSB Softwre Defined Rdio ntroduction n the Jnury 948 issue of ST, SSB ws herlded s being the most significnt development tht hs ever occurred in mteur rdiotelephony.... We re now on the brink of nother step in technology tht will eventully chnge how HF nd ll high performnce rdios will be constructed. This technology will ultimtely led to lower cost, improved performnce nd vstly incresed flexibility. One of the most remrkble components to evolve over the pst decde is the Field Progrmmble Gte Arry or FPGA. The FPGA is uniquely suited for high speed digitl signl processing (RF dt rtes) due to its sclble nd prllel hrdwre resources. As mind bending s the FPGA is, it is mde even more remrkble by the ltest design tools tht llows high fidelity simultion of the system nd then utomticlly genertes the VHDL code to progrm the device. An engineer s drem, come true! The sme sitution holds for the Digitl Signl Processing chips. Tools exist tht do both system simultion nd utomtic code genertion. And s if ll tht ws not enough, the design environment turns into grphicl user interfce to control, monitor, nd djust prmeters on your cretion while it is running! Yes, it seems too good to be true, but it is rel. This note describes how these tools nd components re used to crete n HF SSB rdio. Some Definitions A Softwre Defined Rdio is rdio tht is mde from generl purpose reconfigurble / progrmmble components. t is the progrmming of these components tht define its opertionl chrcteristics. For instnce bndwidth nd modultion (ssb, cw, m, fsk, psk, qpsk etc) re completely determined by how the reconfigurble prts re progrmmed, not by hrdwre filters, mixers, mplifiers, nd other trditionl components. (chip) is computing device tht is optimized for the processing of dt strems (signls). The holy gril of signl processing is the multiply-dd opertion since virtully ll lgorithms need myrid of these computtions. n conjunction with fst hrdwre multiplier nd ccumultor, the memory ddressing is computed in the sme instruction cycle using memory ddress genertion hrdwre such tht two vlues (coefficient nd dt) cn be fetched from fst memory to feed the multiplier. This llows Figure Experimentl setup of the Softwre Defined Rdio. Softwre on the host notebook computer genertes the code required to progrm the nd FPGA hrdwre the Lyr Signl Processing SignlMster development bord on its edge in the bckground. After the code is downloded to the hrdwre, the notebook lso serves s the rdio s user interfce. The receiver RF pre-mp, trnsmitter power-mp, nti lis filter, nd T/R switching re on the copper cld bord behind nd to the left of the microphone. the multiply-dd opertion to be done in one mchine cycle. Although it is true tht generl purpose processor (e.g. ntel Pentium) cn in fct computtionlly outpce the, the power consumption but its cost is commensurte with this performnce. The FPGA is re-progrmmble logic device tht cn contin the equivlent of millions of gtes. On bord these remrkble devices reside RAM, 8x8 prllel multipliers, registers, look up tbles, nd the equivlent of generl purpose logic. The key dvntge the FPGA enjoys over the is its bility to utilize the hrdwre in prllel fshion. n other words, one is not constrined to process dt strems through one (or t most 4) rithmetic elements, but it literlly my hve thousnds of elements to perform the computtions in prllel. This llows the FPGA to process dt strems tht re running in the s of MHz. Until recently, the downside of the FPGA ws its difficulty to write the required code to progrm it. t required knowledge of Hrdwre Descriptor Lnguge such s Verilog or VHDL. This hs now ll chnged with the introduction nd evolution of the Xilinx System Genertor for TM.

2 Figure Block Digrm. The rdio rchitecture cn be described with only mjor components. The color code is s follows: blck : continuous time signls red : the fstest smpling rte, 64 MSPS green : / dt t 64 MSPS/496 rte blue : udio dt t 64 MSPS/89 (7.85 khz) Rdio Architecture Figure shows the utter simplicity of this rdio! True, millions of trnsistors re required, but thnks to modern semiconductor mnufcturing techniques, the cost per trnsistor is less thn the cost of turn wire loop serving s n inductor! Who would hve thought tht to ever be possible? As cn be seen, the rdio uses multiple smpling rtes for the signl processing nd the high speed work is done in the FPGA while the udio bndwidth work is done in the. A rther perfect division of lbor, with ech component doing wht mkes the most sense. Wever s Third Method Prior to 956 there were two primry methods known for generting SSB signls: phsing nd filtering. Ech of these methods hd its pro s nd con s. The phsing method required circuit tht generted 9 degree phse shift cross the udio frequency rnge (- Hz). Devitions from 9 degrees led to reduction in the unwnted sidebnd suppression. The filter method eventully won out with both crystl nd mechnicl filters being used. n 956, Donld Wever cme up with third method tht pprently hd been overlooked. t required filters, nd phse shifts, but the phse shifts were fixed with respect to frequency nd the filters were low-pss, not bnd-pss. However, the technology of the time ws not relly up to the tsk, nd the filtering pproch worked well, so the new Third Method ws not dopted. mplementing Wever s technique in hrdwre is quite esy! The min issues of dc offset, nd filter gin-phse mtch tht prevented the ide from being dopted in 956, simply do not exist. Figure shows block digrm, creted with the Mthworks Simulink environment, of simple SSB genertor using Wever s scheme. To provide recognizble shpe to the spectrum, weighted sum of sine wves is used s the udio input. The first trnsltion nd filtering opertion centers the desired sidebnd round DC nd removes the other sidebnd. Then it is simple mtter to up convert this complex ( & ) signl to the desired RF frequency. The output of the finl two mixers is either summed or differenced to get the upper or lower sidebnd. The spectr shown in Figure tell the story.

3 Figure Wever s Third Method. A simple SSB genertor mde from Simulink blocks is useful to understnd the spectrl shifting, filtering, nd up trnsltion to RF. Filter Design The previous simplified exmple shifted the upper sidebnd to pproximtely 5 khz. The ctul rdio will need to go fr higher, lmost MHz. To ccomplish this, multi-stge, multi-rte filtering is required. The Mthworks hs superior set of filter design tools tht mke this design job quite esy. To cover the MHz HF spectrum, smpling clock of greter thn x MHz is needed. The on-bord 64 MHz clock stisfies this requirement. The desired udio rte is round 8 Hz, nd 64e6/89 = 78.5 Hz is good fit here. Focusing on the receiver for moment, the first filter will be Cscded ntegrtor Comb (CC) filter since it mps very well to FPGA hrdwre. The remining filters will be FR decimtors or interpoltors for the trnsmit chin. The filter p-rocessing is s follows: CC (D=64), FR_ (D=8), FR_ (D=8), FR_ (D=) for n overll smple rte reduction of 64*8*8*=89. Figure 4 shows the overll frequency response of the multi stge filter. The sme filter coefficients re used for trnsmit s well. The lst filter (D=) determines the ultimte frequency response chrcteristics. t therefore borders on being trivil to chnge the filter chrcteristics since they re ll defined by softwre. Overll Filter Response in db Hertz x 4 Figure 4 Filter Frequency Response

4 Simulink HF SSB Trnsceiver Fo Rx/Tx Frequency () Gin 8.4 Freq (MHz) RF_in RF nput Spectrum RF input Red = 64MHz Cyn = 64MHz/496 Drk Green = 64MHz/89 Fin hf_lo_sin hf_lo_cos LSB_sel Locl Oscilltors lo_sin lo_cos _out Rx Digitl Down Converter (c) _in Audio_out SSB Demodultor Rx_out AF Spectrum lo_sin lo_cos RF_out AF nput Sidebnd Select () _out Audio_in SSB Modultor (c) _in Tx Digitl Up Converter Color Trck Tx RF Spectrum Tx_out Figure 4 Hrdwre ndependent Model (Top Level) Evolving the Design The bsics re now lid out, nd more detil now needs to be dded. The first step in this process is to crete hrdwre independent model. This is esily done by using Simulink s Block Librry. Figure 4 shows the generl signl flow in the rdio. The locl oscilltors re shred by both receive nd trnsmit processing chins. The receiver consists of digitl down converter followed by finl filter-demodultor stge. The trnsmitter is simply the receiver blocks turned round with interpolting rther thn decimting filters! Fin LSB_sel Fbfo BFO Freq Figure 5 Locl Oscilltors -Km sin Vin cos LO_dds sin Vin cos BFO_dds hf_lo_sin hf_lo_cos 4 Once stisfctory simultion results hve been obtined indicting the generl signl flow nd filtering re correct, it is time to split the model between the FPGA nd the. For this design, it is quite strightforwrd to prtition the functions. The light green blocks will be implemented in the chip while the light red blocks will be implemented in the Xilinx FPGA. And yes, this is still the Wever scheme, but using modern terminology (digitl down / up converters). 4 Audio_in -Km SSB Modultor Product Product Figure 6 SSB Modultor Re (c) m Rel-mg to Complex x[n/] FR nterpoltion by (c) _out (c) _in 4 x[n] FR Decimtion (c) e(u) m(u) Rel-mg Mix Mix SSB DEMOD Gin Audio_out Figure 7 SSB Demodultor

5 lo_sin lo_cos RF_in Re m iqc (c) Product (c) CC Decimtor D=64 Digitl Down Converter (c) (c) (c) x[8n] x[8n] FR_ Decimtion by FR_ Decimtion by 8 _out Figure 8 Rx Digitl Down Converter _in Digitl Up Converter (c) x[n/8] (c) x[n/8] (c) CC nterpoltor (c) e(u) FR nterpoltion FR nterpoltion m(u) Rel-mg lo_sin lo_cos Mixer Mixer RF_out Figure 9 Tx Digitl Up Converter The FPGA Design The Xilinx System Genertor for is stte-of-the-rt tool tht provides design entry, dt pth definition, bit / cycle true simultions, test bench genertion, hrdwre cosimultion, VHDL code genertion, nd more! The key to the tool is tht the Xilinx system Genertor Bock Librry mps to Xilinx LogiCores which re highly optimized implementtions of typicl functions (filters, direct digitl synthesizer, FFT, etc.). One merely picks blocks from the librry, defines the prmeters (e.g. word size, binry point position), nd hooks them together just like stndrd Simulink blocks. Gtewys re used to go between the stndrd Simulink precision nd the fixed point representtion used by the Xilinx blocks. Referring to figure, there re blocks tht re specific to the Lyr SignlMster hrdwre nd these re mrked with n LSP. The ADC (red) represents the 64 MSPS converter, while the DAC (red) is the 64 MSPS DAC. The gte_ gtewy is bit register tht the cn write to chnge the frequency of the Direct Digitl Synthesizer in the RF Locl Oscilltor block. The downconverted strem from the Rx_Mix_Filters is fed to bit gtewy tht interfces to SSB Rx/Tx Prt : FPGA Frequency Trnsltor fpt dbl center freq Gin Frequency (MHz) nput Spectrum LO_cos out UFix D (c) nrx_ D Fix_4_ RF_dc To demux RX Output RF input Fix -C- D Frequency gte_.5-9 MHz, Fs=64MSPS ADC Fix_4_ Freq_in Fix_4_ LO_cos RF Locl Oscilltor _muxd D Fix RX_Mix_Filters UFix LO_cos RF_DAC in xldsmp z - Down Smple D DAC UFix Codec Sync xmit_rf_out DAC dc sim RF in/out Output Spectrum System Genertor LSP FPGA Genertor for Xmit From TX_Filters_Mix :O Freq 6.4e+7 Fs_ADC&DAC Color Trck Figure FPGA top Level

6 the T. On the trnsmit side, nother gtewy ( from ) tkes dt form the T nd drives the input of the TX_Filters_Mix block. This digrm is the top level nd further detil is contined within ech block s shown in Figures - to the right. Freq Fix xlcst force Reinterpret UFix xlusmp 89 Up Smple UFix k = Constnt Bool Fix_4_ dt sin xldds Fix_4_ we cos DDS z - Fix_4_ hf_lo_sin Dely z - Fix_4_ hf_lo_cos Dely Once the dt pths nd processing is defined, simultion revels if the design meets the objectives of dynmic rnge, nd spurious responses introduced by the fixed point implementtion. f not, chnces re tht more bits need to be used in the filter coefficients nd/or dt pths. Once the objectives re met, click of the mouse genertes the circ files of VHDL required to implement the design. t is then mtter of using the norml Xilinx tool flow (Figure 4) of synthesis, plce nd rout, nd finlly to bitstrem genertion to progrm the FPPGA. This process requires virtully no user intervention nd bit-strem cn be ccomplished with mouse click. Figure- DDS HF Locl Oscilltor :O.56e+4 dt rte Fix_6_5 Fix_6_5 Fix_6_4 Fix_6_5 Fix_4_ xlmult z - (b) b UFix Out Fix_6_5 Fix_6_4 Fix_6_5 M _out d64_cc d8_ mux Fix_6_5 d8_ Fix_4_ xlmult b z - (b) to mke b strem LO_cos M : O.5e+5 Fix_4_ Freq RX_ADC : O e+6 Freq Figure- Rx Down-Smpling Filters xlmult b z - (b) Fix_6_5 Fix Fix n Fix Tx_ demux for. fmt Fix Fix i8_ Fix Fix i8_ Fix Fix i64_cc M xlmult b z - (b) Fix_6_5 xlddsub b z -+b TX sum Fix_6_5 xlconvert z -cst Convert Fix n Out FixUFix UFix RF_out Fix_4_ M Fix_4_ LO_cos Figure- Tx Up-Smpling Filters Figure-4 Xilinx SE 5.i One of the more interesting reports tht cn be generted is floor-pln of the FPGA design. This tool (Figure 5) provides grphicl representtion of the FPGA resources used by ech mjor component (DDS, FR filter etc). As cn be seen, virtully ll (95%) of the FPGA fbric is used by the digitl up/down converter. The design did not initilly fit in the xcv prt. Bits were trimmed from the trnsmit dt pths nd rounding / sturtion were bndoned. The simultion results indicted tht this would not cuse ny severe problems if the proper udio signl conditioning were done in the chip portion of the design. The bility to esily mke these trdeoffs is key ttribute of the design flow. Much more cn be done to shrink the design llowing the use of lower cost FPGA, but for this exmple, there is little point. Figure-5 Xilinx Floor Plnner.

7 SSB Rx/Tx Prt : T Filters + Modultor / Demodultor nterfce to - from FPGA Digitl Frequency Trnsltor [64x].7 AF Out [64x] [64x] Test / Rx Out [64x] [64x] [64x] CS48 Plybck [64x] [64x] Audio Out [64x] AF Gin Audio Processing Audio_in _to_fpga BFO_out Tx cmprs Tx SSB Modultor int [64x] (c) [64x] SMC6xx Asynchonous nterfce SMC6xx Asynchronous Bus nterfce int [64x] [64x] _from_fpga BFO_in Audio_out Tx Smeter cmprs Rx SSB Demodultor [64x] [64x] Time Audio Out Constnt Constnt LSB== Tx== LED lsb/usb Freq Out Freq FPGA Register FPGA Freq Control 7.74 Freq Level Profiler 6.8 % CPU Loding CMD File Genertor CS48_cntl Color Trck Corse F Fine F The Design Figure 6 shows the top level of the rdio prtition which is implemented with the T C67 chip on the Lyr SignlMster development hrdwre. Like the preceding models, the model is hierrchicl. The Audio Processing block is shown in Figure 7. The left most mnul switch selects either the udio ADC in the Mic. nput block, or, two udio sine genertors tht re summed together to mke built in -tone genertor. Reverbertion is not used with the two tone test, but if the ADC is selected, the output of the Reverbertion module is routed to the block output. The detils of the Reverbertion module re shown in Figure 8. For processor efficiency, most of the signl processing is done with frmes of dt. On the block digrm, frme bsed signls show s wide line. However, feedbck systems such s the reverb, need to be implemented on smple by smple bsis, therefore there is n un-buffer nd buffer combintion to go to smple bsed nd then bck to frme bsed processing. Figure-6 Prtition in T C67 boolen Select Mic. Select Two Tone Figure-7 Audio Processing n Audio / Test [64x] [64x] Figure-8 Reverbertion Module BFO u Unbuffer (c) [64x] if(u ~=) f else ction ction else { } Out Two Tone if { } out Mic nput [64x].6 Feedbck Gin z - nteger Dely [64x] Merge [64x] +j*eps Constnt Merge (c) [64x] [64x] [64x] Buffer n reverb Out [64x]. (c) [64x] AF Out [64x] [64x] [64x] [64x] [64x] Audio Out [64x] [64x] BFO_out Out The trnsmit chin modultor is shown in Figure 9. The min subsystem is only enbled during trnsmit to lower the processing lod. Figure hs the modultor nd compressor which rther thn being in the udio chin, is pplied to the dt strem from the modultor block output. [64x] Audio (c) [64x] Out Audio_in (c) [64x] int [64x] BFO in Out [64x] (c) _to_fpga +j*eps Out lsb 4 mpx to bit word Modultor + Compressor Constnt cmprs Downsmple Repet x Tx Repet Figure-9 Tx SSB top Level Modultor

8 Audio [64x] [64x] [64x] Product [64x] Enble 64 MSPS in the Lyr SignlMsterhrdwre. Also, some numeric displys re shown mesuring signl levels. BFO (c) [64x] [64x] Re(u) [64x] m(u) Rel-mg Rel-mg to Complex (c) [64x] Audio out Re [64x] (c) [64x] (c) [64x] Out m x[n/] in [64x] Compress Out FR Compressor nterpoltion by [64x] lsb [64x] - [64x] [64x] Gin [64x] Product [64x] z -9 (c) [64x] [64x] nteger Dely (c) [64x] [64x] [64x] Enble Out Product Buffer DF FR (c) [64x] (c) [64x] [64x] [64x] u [64x] mx log z - 64 /6 () n Abs Gin Smeter Digitl Filter Unbuffer MinMx Mth nteger Dely Downsmple Dt Type Conversion Gin Gin5 Function.5 Figure- Tx SSB Modultor, Filter nd Compressor Constnt.9995 Gin Constnt 9.4 RF gin Sturtion RF AGC in (c) [64x] [64x] [64x] Gin z -9 (c) [64x] nteger Dely R DFT (c) [64x] [64x] 5 u Abs Digitl Filter [64x] Unbuffer Constnt Figure- Tx Compressor Referring to Figure 9, the output of the Compressor feeds switch tht only psses the strem on to the subsequent blocks during trnsmit. The finl block in figure 9 converts the complex precision dt strem into bit fixed point word (6 bits for nd 6 bits for ) to be pssed to the FPGA by the Asynchronous nterfce block in Figure 6. mx MinMx z - nteger Dely.999 Gin Buffer 64 [64x] Downsmple [64x] Product Gin (c) [64x] () Compress Dt Type Conversion Audio out Figure- Rx AGC The normlized level from the AGC subsystem is then fed to the SSB demodultor block long with the BFO signl from the (complex) udio oscilltor tht ws in the Tx SSB Modultor block (Figure 9). in BFOin (c) [64x] Re(u) m(u) Rel-mg (c) [64x] Re(u) m(u) Rel-mg [64x] [64x] [64x] [64x] [64x] [64x] Product Product [64x] [64x] [64x] Ded Zone - m [64x] Gin4 [64x] [64x] [64x] 9 RFA RFA [64x] paudio (c) [64x] BFO_in Out (c) [64x] [64x] in [64x] paudio Audio_out BFOin SSB demod Figure- Rx SSB Demodultor The output of the demodultor (Figure ) forms the Audio Out signl in Figure 9. _from_fpga 5 cmprs 4 Tx int [64x] nout bit demux (c) [64x] x[n] FR Decimtion NOT Logicl Opertor (c) [64x] n Figure- Rx SSB Demodultor The first block in Figure converts the bit fixed point combined signl from the FPGA to complex () precision flot which drives the downsmple-by- FR filter. This filter determines the informtion bndwidth of the receiver. ts up-smple-by- counterprt in the trnsmitter does the sme thing. To switch between vrious informtion filters, one need only to crete them using the filter design tools, nd plce the filters in enbled subsystems in mnner similr to the mechnism used to select between the mic nd the two-tone source. Smeter RF AGC AGC AGC ADC Gin nd more The FR filter output drives the AGC subsystem which is shown in Figure. The AGC is similr to the trnsmit compressor in tht it is feed forwrd scheme rther thn feedbck scheme. Feed forwrd is difficult in nlog hrdwre, but esy with. Note tht one of the pths (RF AGC) lso drives progrmmble gin mplifier before the Smeter To control tuning frequency, trnsmit/receive, nd sidebnd selection, simple user interfce ws mde (Figure 6) from switches nd sliders. The light bulb icon turns on some LEDs on the SignlMster during trnsmit. A wire run from the LED driver is the electricl Trnsmit / Receive signl for the externl (nlog) hrdwre. Freq Freq Fbfo Constnt - m lsb/usb Zero-Order Hold 64 Downsmple Figure-4 FPGA DDS Frequency Control The processing of these control signls is shown in Figure 4. The bit word to set the FPGA Direct Digitl Synthesizer frequency is computed in this block. Notice tht the DDS frequency is offset from the tuned frequency by n mount tht is equl to the BFO frequency, nd, this frequency shift is up or down depending on the sidebnd select mode. This plces the tuned frequency to the point where there would be crrier in non-suppressed crrier system. e6 SMC6xx Custom Register FPGA DDS Freq Out

9 Automtic Code Genertion nd Control Now the good prt! The rel-time code to implement the previous block digrms, downlod it, nd run it, is mouse click wy! A key feture of the Simulink environment is n (optionl) fully integrted code genertion engine option clled the Rel Time Workshop. The process tht genertes the C code cn be modified to support vriety of trget hrdwre. A pre-pckged trget exists for the Lyr SignlMster mking it remrkbly esy to get up nd running. Not line of code ws mnully written. f tht were not impressive enough, the block digrm now becomes the user interfce to control the rdio! The switches nd sliders on the block digrm chnge prmeters (T/R, frequency, USB/LSB) on the fly while the code is executing in the hrdwre. Plus, the displys (e.g. numericl in Figure ) updte s well. You cn even drop in scopes s in the top level of Figure 6, nd these updte while the code is running s well! Frnkly, it hs to be seen to be pprecited. Ok, How Does it Work? Reports re universlly positive with regrd to the signl qulity of the rdio. n spite of the fct tht the receiver hs no tuned pre-selector, it overlods only on the strongest locl signls. For certin, quntittive mesurements need to be mde, but there is no question tht the rdio works well under rel world conditions. Further Work This design is Work n Progress. Now tht the bsic scheme is functioning, dditions will be firly esy. Notice from Figure 6 tht only 6% of the processor horsepower is being used for the current code. This implies tht x more processing is vilble. On the downside, there hs been pesky intermittent filure which hs been found to be relted to temperture. New hrdwre hs just rrived nd will hopefully cure this ill. Sty tuned, Dick, wqg September, Figure-5 Rel time Scope on Audio Signl Beyond this, prmeters ( e.g.constnts) cn lso be chnged while the code is running to djust reverb level, AGC time constnt, signl limiting etc. Useful Links

ABB STOTZ-KONTAKT. ABB i-bus EIB Current Module SM/S Intelligent Installation Systems. User Manual SM/S In = 16 A AC Un = 230 V AC

ABB STOTZ-KONTAKT. ABB i-bus EIB Current Module SM/S Intelligent Installation Systems. User Manual SM/S In = 16 A AC Un = 230 V AC User Mnul ntelligent nstlltion Systems A B 1 2 3 4 5 6 7 8 30 ma 30 ma n = AC Un = 230 V AC 30 ma 9 10 11 12 C ABB STOTZ-KONTAKT Appliction Softwre Current Vlue Threshold/1 Contents Pge 1 Device Chrcteristics...

More information

CHAPTER 2 LITERATURE STUDY

CHAPTER 2 LITERATURE STUDY CHAPTER LITERATURE STUDY. Introduction Multipliction involves two bsic opertions: the genertion of the prtil products nd their ccumultion. Therefore, there re two possible wys to speed up the multipliction:

More information

Exercise 1-1. The Sine Wave EXERCISE OBJECTIVE DISCUSSION OUTLINE. Relationship between a rotating phasor and a sine wave DISCUSSION

Exercise 1-1. The Sine Wave EXERCISE OBJECTIVE DISCUSSION OUTLINE. Relationship between a rotating phasor and a sine wave DISCUSSION Exercise 1-1 The Sine Wve EXERCISE OBJECTIVE When you hve completed this exercise, you will be fmilir with the notion of sine wve nd how it cn be expressed s phsor rotting round the center of circle. You

More information

Experiment 3: Non-Ideal Operational Amplifiers

Experiment 3: Non-Ideal Operational Amplifiers Experiment 3: Non-Idel Opertionl Amplifiers Fll 2009 Equivlent Circuits The bsic ssumptions for n idel opertionl mplifier re n infinite differentil gin ( d ), n infinite input resistnce (R i ), zero output

More information

METHOD OF LOCATION USING SIGNALS OF UNKNOWN ORIGIN. Inventor: Brian L. Baskin

METHOD OF LOCATION USING SIGNALS OF UNKNOWN ORIGIN. Inventor: Brian L. Baskin METHOD OF LOCATION USING SIGNALS OF UNKNOWN ORIGIN Inventor: Brin L. Bskin 1 ABSTRACT The present invention encompsses method of loction comprising: using plurlity of signl trnsceivers to receive one or

More information

Experiment 3: Non-Ideal Operational Amplifiers

Experiment 3: Non-Ideal Operational Amplifiers Experiment 3: Non-Idel Opertionl Amplifiers 9/11/06 Equivlent Circuits The bsic ssumptions for n idel opertionl mplifier re n infinite differentil gin ( d ), n infinite input resistnce (R i ), zero output

More information

CS 135: Computer Architecture I. Boolean Algebra. Basic Logic Gates

CS 135: Computer Architecture I. Boolean Algebra. Basic Logic Gates Bsic Logic Gtes : Computer Architecture I Boolen Algebr Instructor: Prof. Bhgi Nrhri Dept. of Computer Science Course URL: www.ses.gwu.edu/~bhgiweb/cs35/ Digitl Logic Circuits We sw how we cn build the

More information

Application Note. Differential Amplifier

Application Note. Differential Amplifier Appliction Note AN367 Differentil Amplifier Author: Dve n Ess Associted Project: Yes Associted Prt Fmily: CY8C9x66, CY8C7x43, CY8C4x3A PSoC Designer ersion: 4. SP3 Abstrct For mny sensing pplictions, desirble

More information

Synchronous Machine Parameter Measurement

Synchronous Machine Parameter Measurement Synchronous Mchine Prmeter Mesurement 1 Synchronous Mchine Prmeter Mesurement Introduction Wound field synchronous mchines re mostly used for power genertion but lso re well suited for motor pplictions

More information

Digital Design. Chapter 1: Introduction

Digital Design. Chapter 1: Introduction Digitl Design Chpter : Introduction Slides to ccompny the textbook Digitl Design, with RTL Design, VHDL, nd Verilog, 2nd Edition, by, John Wiley nd Sons Publishers, 2. http://www.ddvhid.com Copyright 2

More information

Synchronous Machine Parameter Measurement

Synchronous Machine Parameter Measurement Synchronous Mchine Prmeter Mesurement 1 Synchronous Mchine Prmeter Mesurement Introduction Wound field synchronous mchines re mostly used for power genertion but lso re well suited for motor pplictions

More information

Sequential Logic (2) Synchronous vs Asynchronous Sequential Circuit. Clock Signal. Synchronous Sequential Circuits. FSM Overview 9/10/12

Sequential Logic (2) Synchronous vs Asynchronous Sequential Circuit. Clock Signal. Synchronous Sequential Circuits. FSM Overview 9/10/12 9//2 Sequentil (2) ENGG5 st Semester, 22 Dr. Hden So Deprtment of Electricl nd Electronic Engineering http://www.eee.hku.hk/~engg5 Snchronous vs Asnchronous Sequentil Circuit This Course snchronous Sequentil

More information

ECE 274 Digital Logic. Digital Design. Datapath Components Shifters, Comparators, Counters, Multipliers Digital Design

ECE 274 Digital Logic. Digital Design. Datapath Components Shifters, Comparators, Counters, Multipliers Digital Design ECE 27 Digitl Logic Shifters, Comprtors, Counters, Multipliers Digitl Design..7 Digitl Design Chpter : Slides to ccompny the textbook Digitl Design, First Edition, by Frnk Vhid, John Wiley nd Sons Publishers,

More information

To provide data transmission in indoor

To provide data transmission in indoor Hittite Journl of Science nd Engineering, 2018, 5 (1) 25-29 ISSN NUMBER: 2148-4171 DOI: 10.17350/HJSE19030000074 A New Demodultor For Inverse Pulse Position Modultion Technique Mehmet Sönmez Osmniye Korkut

More information

Engineer-to-Engineer Note

Engineer-to-Engineer Note Engineer-to-Engineer Note EE-236 Technicl notes on using Anlog Devices DSPs, processors nd development tools Contct our technicl support t dsp.support@nlog.com nd t dsptools.support@nlog.com Or visit our

More information

Math Circles Finite Automata Question Sheet 3 (Solutions)

Math Circles Finite Automata Question Sheet 3 (Solutions) Mth Circles Finite Automt Question Sheet 3 (Solutions) Nickols Rollick nrollick@uwterloo.c Novemer 2, 28 Note: These solutions my give you the nswers to ll the prolems, ut they usully won t tell you how

More information

Understanding Basic Analog Ideal Op Amps

Understanding Basic Analog Ideal Op Amps Appliction Report SLAA068A - April 2000 Understnding Bsic Anlog Idel Op Amps Ron Mncini Mixed Signl Products ABSTRACT This ppliction report develops the equtions for the idel opertionl mplifier (op mp).

More information

ECE 274 Digital Logic

ECE 274 Digital Logic ECE - Digitl Logic (Textbook - Required) ECE Digitl Logic Instructor: Romn Lysecky, rlysecky@ece.rizon.edu Office Hours: TBA, ECE F Lecture: MWF :-: PM, ILC Course Website: http://www.ece.rizon.edu/~ece/

More information

The Discussion of this exercise covers the following points:

The Discussion of this exercise covers the following points: Exercise 4 Bttery Chrging Methods EXERCISE OBJECTIVE When you hve completed this exercise, you will be fmilir with the different chrging methods nd chrge-control techniques commonly used when chrging Ni-MI

More information

Lab 8. Speed Control of a D.C. motor. The Motor Drive

Lab 8. Speed Control of a D.C. motor. The Motor Drive Lb 8. Speed Control of D.C. motor The Motor Drive Motor Speed Control Project 1. Generte PWM wveform 2. Amplify the wveform to drive the motor 3. Mesure motor speed 4. Mesure motor prmeters 5. Control

More information

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors We re IntechOpen, the world s leding pulisher of Open Access ooks Built y scientists, for scientists 3,5 8,.7 M Open ccess ooks ville Interntionl uthors nd editors Downlods Our uthors re mong the 5 Countries

More information

Engineer-to-Engineer Note

Engineer-to-Engineer Note Engineer-to-Engineer Note EE-247 Technicl notes on using Anlog Devices DSPs, processors nd development tools Contct our technicl support t dsp.support@nlog.com nd t dsptools.support@nlog.com Or visit our

More information

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad

INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigal, Hyderabad Hll Ticket No Question Pper Code: AEC009 INSTITUTE OF AERONAUTICAL ENGINEERING (Autonomous) Dundigl, Hyderd - 500 043 MODEL QUESTION PAPER Four Yer B.Tech V Semester End Exmintions, Novemer - 2018 Regultions:

More information

& Y Connected resistors, Light emitting diode.

& Y Connected resistors, Light emitting diode. & Y Connected resistors, Light emitting diode. Experiment # 02 Ojectives: To get some hndson experience with the physicl instruments. To investigte the equivlent resistors, nd Y connected resistors, nd

More information

Synchronous Generator Line Synchronization

Synchronous Generator Line Synchronization Synchronous Genertor Line Synchroniztion 1 Synchronous Genertor Line Synchroniztion Introduction One issue in power genertion is synchronous genertor strting. Typiclly, synchronous genertor is connected

More information

EET 438a Automatic Control Systems Technology Laboratory 5 Control of a Separately Excited DC Machine

EET 438a Automatic Control Systems Technology Laboratory 5 Control of a Separately Excited DC Machine EE 438 Automtic Control Systems echnology bortory 5 Control of Seprtely Excited DC Mchine Objective: Apply proportionl controller to n electromechnicl system nd observe the effects tht feedbck control

More information

CS2204 DIGITAL LOGIC & STATE MACHINE DESIGN fall 2008

CS2204 DIGITAL LOGIC & STATE MACHINE DESIGN fall 2008 CS224 DIGITAL LOGIC & STATE MACHINE DESIGN fll 28 STAND ALONE XILINX PROJECT 2-TO- MULTIPLEXER. Gols : Lern how to develop stnd lone 2-to- multiplexer () Xilinx project during which the following re introduced

More information

Pulse Radar with Field-Programmable Gate Array Range Compression for Real Time Displacement and Vibration Monitoring

Pulse Radar with Field-Programmable Gate Array Range Compression for Real Time Displacement and Vibration Monitoring sensors Article Pulse Rdr with Field-Progrmmble Gte Arry Rnge Compression for Rel Time Displcement nd Vibrtion Monitoring Mihi-Liviu Tudose 1, *, Andrei Anghel 1, Remus Ccovenu 1 nd Mihi Dtcu 1,2 1 Reserch

More information

A Novel Back EMF Zero Crossing Detection of Brushless DC Motor Based on PWM

A Novel Back EMF Zero Crossing Detection of Brushless DC Motor Based on PWM A ovel Bck EMF Zero Crossing Detection of Brushless DC Motor Bsed on PWM Zhu Bo-peng Wei Hi-feng School of Electricl nd Informtion, Jingsu niversity of Science nd Technology, Zhenjing 1003 Chin) Abstrct:

More information

MEASURE THE CHARACTERISTIC CURVES RELEVANT TO AN NPN TRANSISTOR

MEASURE THE CHARACTERISTIC CURVES RELEVANT TO AN NPN TRANSISTOR Electricity Electronics Bipolr Trnsistors MEASURE THE HARATERISTI URVES RELEVANT TO AN NPN TRANSISTOR Mesure the input chrcteristic, i.e. the bse current IB s function of the bse emitter voltge UBE. Mesure

More information

Dataflow Language Model. DataFlow Models. Applications of Dataflow. Dataflow Languages. Kahn process networks. A Kahn Process (1)

Dataflow Language Model. DataFlow Models. Applications of Dataflow. Dataflow Languages. Kahn process networks. A Kahn Process (1) The slides contin revisited mterils from: Peter Mrwedel, TU Dortmund Lothr Thiele, ETH Zurich Frnk Vhid, University of liforni, Riverside Dtflow Lnguge Model Drsticlly different wy of looking t computtion:

More information

DP400 / DM350. Inverter. Total Solutions from the Single Source Provider DP400 PULSED MAG - PULSED MIG CO2 - MAG - MIG - FCAW

DP400 / DM350. Inverter. Total Solutions from the Single Source Provider DP400 PULSED MAG - PULSED MIG CO2 - MAG - MIG - FCAW DP400 / DM350 Digitl Controlled DC Inverter Arc Welding Mchines CAT. NO. A446 Simple Opertion Perfect Welds from Arc Strt to End Inverter Totl Solutions from Single Source Provider DP400 PULSED MAG - PULSED

More information

Simulation of Transformer Based Z-Source Inverter to Obtain High Voltage Boost Ability

Simulation of Transformer Based Z-Source Inverter to Obtain High Voltage Boost Ability Interntionl Journl of cience, Engineering nd Technology Reserch (IJETR), olume 4, Issue 1, October 15 imultion of Trnsformer Bsed Z-ource Inverter to Obtin High oltge Boost Ability A.hnmugpriy 1, M.Ishwry

More information

Safety Relay Unit. Main contacts Auxiliary contact Number of input channels Rated voltage Model Category. possible 24 VAC/VDC G9SA-501.

Safety Relay Unit. Main contacts Auxiliary contact Number of input channels Rated voltage Model Category. possible 24 VAC/VDC G9SA-501. Sfety Rely Unit The Series Offers Complete Line-up of Compct Units. Four kinds of -mm wide Units re ville: A -pole model, -pole model, nd models with poles nd OFF-dely poles, s well s Two-hnd ler. Simple

More information

CHAPTER 3 AMPLIFIER DESIGN TECHNIQUES

CHAPTER 3 AMPLIFIER DESIGN TECHNIQUES CHAPTER 3 AMPLIFIER DEIGN TECHNIQUE 3.0 Introduction olid-stte microwve mplifiers ply n importnt role in communiction where it hs different pplictions, including low noise, high gin, nd high power mplifiers.

More information

Algorithms for Memory Hierarchies Lecture 14

Algorithms for Memory Hierarchies Lecture 14 Algorithms for emory Hierrchies Lecture 4 Lecturer: Nodri Sitchinv Scribe: ichel Hmnn Prllelism nd Cche Obliviousness The combintion of prllelism nd cche obliviousness is n ongoing topic of reserch, in

More information

Discontinued AN6262N, AN6263N. (planed maintenance type, maintenance type, planed discontinued typed, discontinued type)

Discontinued AN6262N, AN6263N. (planed maintenance type, maintenance type, planed discontinued typed, discontinued type) ICs for Cssette, Cssette Deck ANN, ANN Puse Detection s of Rdio Cssette, Cssette Deck Overview The ANN nd the ANN re the puse detection integrted circuits which select the progrm on the cssette tpe. In

More information

OPERATING INSTRUCTION

OPERATING INSTRUCTION -2 AUTOMATIC POLARIMETER OPERATING INSTRUCTION Plese red through these operting instruction before using MRC.VER.01-. CONTENTS I. APPLICATIONS.1 II. III. IV. PERFORMANCE 1 CONSTRUCTION AND PRINCIPLE 2

More information

Module 9. DC Machines. Version 2 EE IIT, Kharagpur

Module 9. DC Machines. Version 2 EE IIT, Kharagpur Module 9 DC Mchines Version EE IIT, Khrgpur esson 40 osses, Efficiency nd Testing of D.C. Mchines Version EE IIT, Khrgpur Contents 40 osses, efficiency nd testing of D.C. mchines (esson-40) 4 40.1 Gols

More information

Mixed CMOS PTL Adders

Mixed CMOS PTL Adders Anis do XXVI Congresso d SBC WCOMPA l I Workshop de Computção e Aplicções 14 20 de julho de 2006 Cmpo Grnde, MS Mixed CMOS PTL Adders Déor Mott, Reginldo d N. Tvres Engenhri em Sistems Digitis Universidde

More information

Ultra Low Cost ACCELEROMETER

Ultra Low Cost ACCELEROMETER Chip Scle Pckged Digitl Therml Orienttion Sensing Accelerometer MXC6226XC Document Version D Pge 1 of 13 Fetures Generl Description Fully Integrted Therml Accelerometer X/Y Axis, 8 bit, Accelertion A/D

More information

ECE 274 Digital Logic Fall 2009 Digital Design

ECE 274 Digital Logic Fall 2009 Digital Design igitl Logic ll igitl esign MW -:PM, IL Romn Lysecky, rlysecky@ece.rizon.edu http://www.ece.rizon.edu/~ece hpter : Introduction Slides to ccompny the textbook igitl esign, irst dition, by rnk Vhid, John

More information

Multi-beam antennas in a broadband wireless access system

Multi-beam antennas in a broadband wireless access system Multi-em ntenns in rodnd wireless ccess system Ulrik Engström, Mrtin Johnsson, nders Derneryd nd jörn Johnnisson ntenn Reserch Center Ericsson Reserch Ericsson SE-4 84 Mölndl Sweden E-mil: ulrik.engstrom@ericsson.com,

More information

Study on SLT calibration method of 2-port waveguide DUT

Study on SLT calibration method of 2-port waveguide DUT Interntionl Conference on Advnced Electronic cience nd Technology (AET 206) tudy on LT clibrtion method of 2-port wveguide DUT Wenqing Luo, Anyong Hu, Ki Liu nd Xi Chen chool of Electronics nd Informtion

More information

PB-735 HD DP. Industrial Line. Automatic punch and bind machine for books and calendars

PB-735 HD DP. Industrial Line. Automatic punch and bind machine for books and calendars PB-735 HD DP Automtic punch nd bind mchine for books nd clendrs A further step for the utomtion of double loop binding. A clever nd flexible mchine ble to punch nd bind in line up to 9/16. Using the best

More information

Ultra Low Cost ACCELEROMETER

Ultra Low Cost ACCELEROMETER Chip Scle Pckged Fully Integrted Therml Accelerometer MXC622xXC Rev,A 8/19/2011 Pge 1 of 13 Fetures Generl Description Fully Integrted Therml Accelerometer X/Y Axis, 8 bit, Accelertion A/D Output (± 2g)

More information

Convolutional Networks. Lecture slides for Chapter 9 of Deep Learning Ian Goodfellow

Convolutional Networks. Lecture slides for Chapter 9 of Deep Learning Ian Goodfellow Convolutionl Networks Lecture slides for Chpter 9 of Deep Lerning In Goodfellow 2016-09-12 Convolutionl Networks Scle up neurl networks to process very lrge imges / video sequences Sprse connections Prmeter

More information

TYPE N AND ON CARRIER REPEATERS-REPEATERED NIA HIGH-LOW TRANSISTORIZED REPEATER CONTENTS PAGE 1. GENERAL This section describes the physical and

TYPE N AND ON CARRIER REPEATERS-REPEATERED NIA HIGH-LOW TRANSISTORIZED REPEATER CONTENTS PAGE 1. GENERAL This section describes the physical and BELL SYSTEM PRACTCES Plnt Series SECTON 362-4- 1 2 1 ssue 2, December 1969 AT&TCo Stndrd TYPE N AND ON CARRER REPEATERS-REPEATERED HGH-FREQUENCY LNE DESCRPTON-TYPE NA HGH-LOW TRANSSTORZED REPEATER CONTENTS

More information

TIME: 1 hour 30 minutes

TIME: 1 hour 30 minutes UNIVERSITY OF AKRON DEPARTMENT OF ELECTRICAL AND COMPUTER ENGINEERING 4400: 34 INTRODUCTION TO COMMUNICATION SYSTEMS - Spring 07 SAMPLE FINAL EXAM TIME: hour 30 minutes INSTRUCTIONS: () Write your nme

More information

DESIGN OF CONTINUOUS LAG COMPENSATORS

DESIGN OF CONTINUOUS LAG COMPENSATORS DESIGN OF CONTINUOUS LAG COMPENSATORS J. Pulusová, L. Körösi, M. Dúbrvská Institute of Robotics nd Cybernetics, Slovk University of Technology, Fculty of Electricl Engineering nd Informtion Technology

More information

Kirchhoff s Rules. Kirchhoff s Laws. Kirchhoff s Rules. Kirchhoff s Laws. Practice. Understanding SPH4UW. Kirchhoff s Voltage Rule (KVR):

Kirchhoff s Rules. Kirchhoff s Laws. Kirchhoff s Rules. Kirchhoff s Laws. Practice. Understanding SPH4UW. Kirchhoff s Voltage Rule (KVR): SPH4UW Kirchhoff s ules Kirchhoff s oltge ule (K): Sum of voltge drops round loop is zero. Kirchhoff s Lws Kirchhoff s Current ule (KC): Current going in equls current coming out. Kirchhoff s ules etween

More information

Engineer-to-Engineer Note

Engineer-to-Engineer Note Engineer-to-Engineer Note EE-297 Technicl notes on using Anlog Devices DSPs, processors nd development tools Visit our Web resources http://www.nlog.com/ee-notes nd http://www.nlog.com/processors or e-mil

More information

High Speed On-Chip Interconnects: Trade offs in Passive Termination

High Speed On-Chip Interconnects: Trade offs in Passive Termination High Speed On-Chip Interconnects: Trde offs in Pssive Termintion Rj Prihr University of Rochester, NY, USA prihr@ece.rochester.edu Abstrct In this pper, severl pssive termintion schemes for high speed

More information

(1) Non-linear system

(1) Non-linear system Liner vs. non-liner systems in impednce mesurements I INTRODUCTION Electrochemicl Impednce Spectroscopy (EIS) is n interesting tool devoted to the study of liner systems. However, electrochemicl systems

More information

Fuzzy Logic Controller for Three Phase PWM AC-DC Converter

Fuzzy Logic Controller for Three Phase PWM AC-DC Converter Journl of Electrotechnology, Electricl Engineering nd Mngement (2017) Vol. 1, Number 1 Clusius Scientific Press, Cnd Fuzzy Logic Controller for Three Phse PWM AC-DC Converter Min Muhmmd Kml1,, Husn Ali2,b

More information

EE Controls Lab #2: Implementing State-Transition Logic on a PLC

EE Controls Lab #2: Implementing State-Transition Logic on a PLC Objective: EE 44 - Controls Lb #2: Implementing Stte-rnsition Logic on PLC ssuming tht speed is not of essence, PLC's cn be used to implement stte trnsition logic. he dvntge of using PLC over using hrdwre

More information

Products no longer available

Products no longer available echnicl dt sheet otry ctutor F2-P(-O) ultifunctionl rotry ctutor with emergency control for 2 nd 3 wy control bll vlve orque Nm Nominl voltge C/DC 2 V Control: odulting DC... V or vrible Position feedbck

More information

Arduino for Model Railroaders

Arduino for Model Railroaders Steve Mssikker Arduino for Model Rilroders Ornge Book Protocol 2 Full Description November 28 Tble of contents Dontors Documenttion Kit V.4-8 Pge 2 I wnt to tke the time to sincerely thnk you for your

More information

Three-Phase Synchronous Machines The synchronous machine can be used to operate as: 1. Synchronous motors 2. Synchronous generators (Alternator)

Three-Phase Synchronous Machines The synchronous machine can be used to operate as: 1. Synchronous motors 2. Synchronous generators (Alternator) Three-Phse Synchronous Mchines The synchronous mchine cn be used to operte s: 1. Synchronous motors 2. Synchronous genertors (Alterntor) Synchronous genertor is lso referred to s lterntor since it genertes

More information

University of North Carolina-Charlotte Department of Electrical and Computer Engineering ECGR 4143/5195 Electrical Machinery Fall 2009

University of North Carolina-Charlotte Department of Electrical and Computer Engineering ECGR 4143/5195 Electrical Machinery Fall 2009 Problem 1: Using DC Mchine University o North Crolin-Chrlotte Deprtment o Electricl nd Computer Engineering ECGR 4143/5195 Electricl Mchinery Fll 2009 Problem Set 4 Due: Thursdy October 8 Suggested Reding:

More information

JUMO Wtrans B Programmable Head Transmitter with Radio Transmission

JUMO Wtrans B Programmable Head Transmitter with Radio Transmission Dt Sheet 707060 Seite 1/10 JUMO Wtrns B Progrmmble Hed Trnsmitter with Rdio Trnsmission Brief description The Wtrns B hed trnsmitter with wireless dt trnsmission is used in connection with Wtrns receiver

More information

Using Compass 3 to Program the Senso Diva Page 1

Using Compass 3 to Program the Senso Diva Page 1 Pge 1 Selection of Senso Div Instrument Style SD-CIC Custom CIC Up to 50-60dB HL Hering Loss SD-XM Custom ITE or ITC with Loctor Up to 60-70dB HL Hering Loss SD-9M BTE with Loctor Up to 70-80dB HL Hering

More information

Design of FPGA-Based Rapid Prototype Spectral Subtraction for Hands-free Speech Applications

Design of FPGA-Based Rapid Prototype Spectral Subtraction for Hands-free Speech Applications Design of FPGA-Bsed Rpid Prototype Spectrl Subtrction for Hnds-free Speech Applictions Sryut Amornwongpeeti *, Nobutk Ono *, nd Mongkol Ekpnypong * Principles of Informtics Reserch Division, Ntionl Institute

More information

Nevery electronic device, since all the semiconductor

Nevery electronic device, since all the semiconductor Proceedings of Interntionl Joint Conference on Neurl Networks, Orlndo, Florid, USA, August 12-17, 2007 A Self-tuning for Rel-time Voltge Regultion Weiming Li, Xio-Hu Yu Abstrct In this reserch, self-tuning

More information

9.4. ; 65. A family of curves has polar equations. ; 66. The astronomer Giovanni Cassini ( ) studied the family of curves with polar equations

9.4. ; 65. A family of curves has polar equations. ; 66. The astronomer Giovanni Cassini ( ) studied the family of curves with polar equations 54 CHAPTER 9 PARAMETRIC EQUATINS AND PLAR CRDINATES 49. r, 5. r sin 3, 5 54 Find the points on the given curve where the tngent line is horizontl or verticl. 5. r 3 cos 5. r e 53. r cos 54. r sin 55. Show

More information

MAXIMUM FLOWS IN FUZZY NETWORKS WITH FUNNEL-SHAPED NODES

MAXIMUM FLOWS IN FUZZY NETWORKS WITH FUNNEL-SHAPED NODES MAXIMUM FLOWS IN FUZZY NETWORKS WITH FUNNEL-SHAPED NODES Romn V. Tyshchuk Informtion Systems Deprtment, AMI corportion, Donetsk, Ukrine E-mil: rt_science@hotmil.com 1 INTRODUCTION During the considertion

More information

Solutions to exercise 1 in ETS052 Computer Communication

Solutions to exercise 1 in ETS052 Computer Communication Solutions to exercise in TS52 Computer Communiction 23 Septemer, 23 If it occupies millisecond = 3 seconds, then second is occupied y 3 = 3 its = kps. kps If it occupies 2 microseconds = 2 6 seconds, then

More information

Electrical data Nominal voltage AC/DC 24 V Nominal voltage frequency

Electrical data Nominal voltage AC/DC 24 V Nominal voltage frequency echnicl dt sheet RF24-MF-O Communictive rotry ctutor with emergency control function for ll vlves Nominl torque 2.5 Nm Nominl voltge AC/DC 24 V Control Modulting DC ()2...1 V Position feedck DC 2...1 V

More information

NP10 DIGITAL MULTIMETER Functions and features of the multimeter:

NP10 DIGITAL MULTIMETER Functions and features of the multimeter: NP10 DIGITL MULTIMETER. unctions nd fetures of the multimeter: 1000 V CT III tri requencies from 10.00...10 M. Diode mesurement nd continuity testing. HOLD mesurement. Reltive mesurement. Duty cycle (%)

More information

FTU263. Ripple Control Receiver. Technical Data. Load Management Ripple Control

FTU263. Ripple Control Receiver. Technical Data. Load Management Ripple Control Lod Mngement Ripple Control Ripple Control Receiver FTU263 Technicl Dt The FTU263 comines the functionlity of ripple control receiver nd full clendr time switch. The FTU263 receiver is suited for opertion

More information

Three-Phase NPC Inverter Using Three-Phase Coupled Inductor

Three-Phase NPC Inverter Using Three-Phase Coupled Inductor ThreePhse NPC Inverter Using ThreePhse Coupled Inductor Romeu Husmnn 1, Rodrigo d Silv 2 nd Ivo Brbi 2 1 Deprtment of Electricl nd Telecommuniction Engineering, University of Blumenu FURB Blumenu SC Brzil,

More information

April 9, 2000 DIS chapter 10 CHAPTER 3 : INTEGRATED PROCESSOR-LEVEL ARCHITECTURES FOR REAL-TIME DIGITAL SIGNAL PROCESSING

April 9, 2000 DIS chapter 10 CHAPTER 3 : INTEGRATED PROCESSOR-LEVEL ARCHITECTURES FOR REAL-TIME DIGITAL SIGNAL PROCESSING April 9, 2000 DIS chpter 0 CHAPTE 3 : INTEGATED POCESSO-LEVEL ACHITECTUES FO EAL-TIME DIGITAL SIGNAL POCESSING April 9, 2000 DIS chpter 3.. INTODUCTION The purpose of this chpter is twofold. Firstly, bsic

More information

Threshold Logic Computing: Memristive-CMOS Circuits for Fast Fourier Transform and Vedic Multiplication

Threshold Logic Computing: Memristive-CMOS Circuits for Fast Fourier Transform and Vedic Multiplication 1 Threshold Logic Computing: Memristive-CMOS Circuits for Fst Fourier Trnsform nd edic Multipliction Alex Pppchen Jmes, Dinesh S. Kumr, nd Arun Ajyn Abstrct Brin inspired circuits cn provide n lterntive

More information

Topic 20: Huffman Coding

Topic 20: Huffman Coding Topic 0: Huffmn Coding The uthor should gze t Noh, nd... lern, s they did in the Ark, to crowd gret del of mtter into very smll compss. Sydney Smith, dinburgh Review Agend ncoding Compression Huffmn Coding

More information

ISSCC 2006 / SESSION 21 / ADVANCED CLOCKING, LOGIC AND SIGNALING TECHNIQUES / 21.5

ISSCC 2006 / SESSION 21 / ADVANCED CLOCKING, LOGIC AND SIGNALING TECHNIQUES / 21.5 21.5 A 1.1GHz Chrge-Recovery Logic Visvesh Sthe, Jung-Ying Chueh, Mrios Ppefthymiou University of Michign, Ann Aror, MI Boost Logic is chrge-recovery circuit fmily cple of operting t GHz-clss frequencies

More information

This is a repository copy of Effect of power state on absorption cross section of personal computer components.

This is a repository copy of Effect of power state on absorption cross section of personal computer components. This is repository copy of Effect of power stte on bsorption cross section of personl computer components. White Rose Reserch Online URL for this pper: http://eprints.whiterose.c.uk/10547/ Version: Accepted

More information

Series AE W PFC INDUSTRIAL POWER SUPPLY

Series AE W PFC INDUSTRIAL POWER SUPPLY FEATURES Progrmmle output voltge (0%~05%) Progrmmle output current (0%~05%) Universl AC input / Full rnge Constnt current limiting Optionl glol control vi RS3 Selectle +5V / 0.5A or +9V / 0.3A uxiliry

More information

Electrical data Nominal voltage AC/DC 24 V Nominal voltage frequency

Electrical data Nominal voltage AC/DC 24 V Nominal voltage frequency echnicl dt sheet LRF24- Communictive rotry ctutor with emergency control function for ll vlves Nominl torque 4 Nm Nominl voltge AC/DC 24 V Control Modulting DC (0)2...10 V Vrile Position feedck DC 2...10

More information

Intention reconsideration in theory and practice

Intention reconsideration in theory and practice Intention reconsidertion in theory nd prctice Simon Prsons nd Ol Pettersson nd lessndro Sffiotti nd Michel Wooldridge bstrct. utonomous gents operting in complex dynmic environments need the bility to

More information

Array chip resistors size ARC241/ARC242 ARV241/ARV242

Array chip resistors size ARC241/ARC242 ARV241/ARV242 Arry chip resistors FEATURES 4 0603 sized resistors in one 1206-sized pckge Reduced reel exchnge time Low ssembly costs Reduced PCB re Reduced size of finl equipment Higher component nd equipment relibility.

More information

10.4 AREAS AND LENGTHS IN POLAR COORDINATES

10.4 AREAS AND LENGTHS IN POLAR COORDINATES 65 CHAPTER PARAMETRIC EQUATINS AND PLAR CRDINATES.4 AREAS AND LENGTHS IN PLAR CRDINATES In this section we develop the formul for the re of region whose oundry is given y polr eqution. We need to use the

More information

2N6071A/B Series. Sensitive Gate Triacs. Silicon Bidirectional Thyristors TRIACS 4.0 A RMS, V

2N6071A/B Series. Sensitive Gate Triacs. Silicon Bidirectional Thyristors TRIACS 4.0 A RMS, V Preferred Device Sensitive Gte Trics Silicon Bidirectionl Thyristors Designed primrily for full-wve AC control pplictions, such s light dimmers, motor controls, heting controls nd power supplies; or wherever

More information

Section 2.2 PWM converter driven DC motor drives

Section 2.2 PWM converter driven DC motor drives Section 2.2 PWM converter driven DC motor drives 2.2.1 Introduction Controlled power supply for electric drives re obtined mostly by converting the mins AC supply. Power electronic converter circuits employing

More information

Lecture 16: Four Quadrant operation of DC Drive (or) TYPE E Four Quadrant chopper Fed Drive: Operation

Lecture 16: Four Quadrant operation of DC Drive (or) TYPE E Four Quadrant chopper Fed Drive: Operation Lecture 16: Four Qudrnt opertion of DC Drive (or) TYPE E Four Qudrnt chopper Fed Drive: Opertion The rmture current I is either positive or negtive (flow in to or wy from rmture) the rmture voltge is lso

More information

Joanna Towler, Roading Engineer, Professional Services, NZTA National Office Dave Bates, Operations Manager, NZTA National Office

Joanna Towler, Roading Engineer, Professional Services, NZTA National Office Dave Bates, Operations Manager, NZTA National Office . TECHNICA MEMOANDM To Cc repred By Endorsed By NZTA Network Mngement Consultnts nd Contrctors NZTA egionl Opertions Mngers nd Are Mngers Dve Btes, Opertions Mnger, NZTA Ntionl Office Jonn Towler, oding

More information

Spiral Tilings with C-curves

Spiral Tilings with C-curves Spirl Tilings with -curves Using ombintorics to Augment Trdition hris K. Plmer 19 North Albny Avenue hicgo, Illinois, 0 chris@shdowfolds.com www.shdowfolds.com Abstrct Spirl tilings used by rtisns through

More information

CS2204 DIGITAL LOGIC & STATE MACHINE DESIGN SPRING 2005

CS2204 DIGITAL LOGIC & STATE MACHINE DESIGN SPRING 2005 CS2204 DIGITAL LOGIC & STATE MACHINE DESIGN SPRING 2005 EXPERIMENT 1 FUNDAMENTALS 1. GOALS : Lern how to develop cr lrm digitl circuit during which the following re introduced : CS2204 l fundmentls, nd

More information

An Efficient SC-FDM Modulation Technique for a UAV Communication Link

An Efficient SC-FDM Modulation Technique for a UAV Communication Link electronics Article An Efficient SC-FDM Modultion Technique for UAV Communiction Link Sukhrob Aev 1, Oh-Heum Kwon 1, Suk-Hwn Lee 2 Ki-Ryong Kwon 1, * 1 Deprtment of IT Convergence Appliction Engineering,

More information

(CATALYST GROUP) B"sic Electric"l Engineering

(CATALYST GROUP) Bsic Electricl Engineering (CATALYST GROUP) B"sic Electric"l Engineering 1. Kirchhoff s current l"w st"tes th"t (") net current flow "t the junction is positive (b) Hebr"ic sum of the currents meeting "t the junction is zero (c)

More information

Exponential-Hyperbolic Model for Actual Operating Conditions of Three Phase Arc Furnaces

Exponential-Hyperbolic Model for Actual Operating Conditions of Three Phase Arc Furnaces Americn Journl of Applied Sciences 6 (8): 1539-1547, 2009 ISSN 1546-9239 2009 Science Publictions Exponentil-Hyperbolic Model for Actul Operting Conditions of Three Phse Arc Furnces 1 Mhdi Bnejd, 2 Rhmt-Allh

More information

Direct AC Generation from Solar Cell Arrays

Direct AC Generation from Solar Cell Arrays Missouri University of Science nd Technology Scholrs' Mine UMR-MEC Conference 1975 Direct AC Genertion from Solr Cell Arrys Fernndo L. Alvrdo Follow this nd dditionl works t: http://scholrsmine.mst.edu/umr-mec

More information

Resolver Interface Card "OPC-G1. RES" Product Specifications

Resolver Interface Card OPC-G1. RES Product Specifications Resolver Interfce Crd "OPC-G1 G1-RES RES" Product Specifictions Suzuk Fctory Design Dept. Dte Nme Approved Drwn 2011-4-1 Awi/Ueki Checked 2011-4-1 Y.Kto Y.Kto Drwing No. Fuji Electric Co., Ltd. SI27-5375

More information

Electrical data Nominal voltage AC/DC 24 V Nominal voltage frequency

Electrical data Nominal voltage AC/DC 24 V Nominal voltage frequency echnicl dt sheet SR24A-MF Prmeterisle rotry ctutor for ll vlves Nominl torque 20 Nm Nominl voltge AC/DC 24 V Control Modulting DC (0)2...10 V Vrile Position feedck DC 2...10 V Vrile echnicl dt Electricl

More information

Pilot Operated Proportional DC Valve Series D*1FB. Pilot Operated Proportional DC Valve Series D*1FB. D*1FBR and D*1FBZ

Pilot Operated Proportional DC Valve Series D*1FB. Pilot Operated Proportional DC Valve Series D*1FB. D*1FBR and D*1FBZ Ctlogue HY11-35/UK Chrcteristics Series D*1F Ctlogue HY11-35/UK Regenertive nd Hyrid Function Series D*1F he pilot operted proportionl directionl vlves D*1F re ville in 4 sizes: D31F - NG1 (CEO 5) D41F

More information

ECE Digital Logic (Labs) ECE 274 Digital Logic. ECE Digital Logic (Textbook) ECE Digital Logic (Optional Textbook)

ECE Digital Logic (Labs) ECE 274 Digital Logic. ECE Digital Logic (Textbook) ECE Digital Logic (Optional Textbook) ECE 74 Digitl Logic ECE 74 - Digitl Logic (Ls) Instructor: Romn Lysecky, rlysecky@ece.rizon.edu Office Hours: MW :-: PM, ECE Lecture: MW :-: PM, ILC 4 Course Wesite: http://www.ece.rizon.edu/~ece74/ TAs:

More information

Compared to generators DC MOTORS. Back e.m.f. Back e.m.f. Example. Example. The construction of a d.c. motor is the same as a d.c. generator.

Compared to generators DC MOTORS. Back e.m.f. Back e.m.f. Example. Example. The construction of a d.c. motor is the same as a d.c. generator. Compred to genertors DC MOTORS Prepred by Engr. JP Timol Reference: Electricl nd Electronic Principles nd Technology The construction of d.c. motor is the sme s d.c. genertor. the generted e.m.f. is less

More information

DIGITAL I&Q DEMODULATION IN ARRAY PROCESSING: THEORY AND IMPLEMENTATION. David Bernal, Pau Closas, Juan A. Fernández Rubio

DIGITAL I&Q DEMODULATION IN ARRAY PROCESSING: THEORY AND IMPLEMENTATION. David Bernal, Pau Closas, Juan A. Fernández Rubio DIGITAL I&Q DEMODULATION IN ARRAY PROCESSING: THEORY AND IMPLEMENTATION Dvid Bernl, Pu Closs, Jun A. Fernández Rubio Dept. of Signl Theory nd Communictions, Universitt Politècnic de Ctluny (UPC) Jordi

More information

Section 17.2: Line Integrals. 1 Objectives. 2 Assignments. 3 Maple Commands. 1. Compute line integrals in IR 2 and IR Read Section 17.

Section 17.2: Line Integrals. 1 Objectives. 2 Assignments. 3 Maple Commands. 1. Compute line integrals in IR 2 and IR Read Section 17. Section 7.: Line Integrls Objectives. ompute line integrls in IR nd IR 3. Assignments. Red Section 7.. Problems:,5,9,,3,7,,4 3. hllenge: 6,3,37 4. Red Section 7.3 3 Mple ommnds Mple cn ctully evlute line

More information

Abacaba-Dabacaba! by Michael Naylor Western Washington University

Abacaba-Dabacaba! by Michael Naylor Western Washington University Abcb-Dbcb! by Michel Nylor Western Wshington University The Abcb structure shows up in n mzing vriety of plces. This rticle explores 10 surprising ides which ll shre this pttern, pth tht will tke us through

More information

CDMA One. International summer students courses: "Plugged In: Modern Networks and Services in Telecommunication"

CDMA One. International summer students courses: Plugged In: Modern Networks and Services in Telecommunication INTRAROM S.A. ROMANIAN TELECOMMUNICATIONS AND ELECTRONICS INDUSTRY ROMANIA, Buchrest, 17 Fbric de Glucoz St., Sector 2 Cod 72322, Tel:(+40 1) 2040600 Fx:(+40 1) 2040611 http://www.intrrom.ro Interntionl

More information