Memristive memories and photonic interconnects in the data-centric datacenter

Size: px
Start display at page:

Download "Memristive memories and photonic interconnects in the data-centric datacenter"

Transcription

1

2 Memristive memories and photonic interconnects in the data-centric datacenter Marco Fiorentino HP Labs Information and Quantum Systems Lab 2008 Hewlett-Packard Development Company, L.P. The information contained herein is subject to change without notice

3 Motivation Computing landscape Data grows faster than computation Online data increased 95%/yr (Google) Storage growth 56%/yr (IDC) Moore s Law growth 40%/yr Data-centric workloads Distribute/locally transform/transmit data Diverse access patterns and locality Diverse compute requirements Shift from performance to efficiency Cost Sustainability 3

4 Data-centric datacenter (DC-DC) Data-centric datacenter Large amounts of data Scalable Lots of storage/memory/compute power Non local data large communication bandwidth Management tools for large shared data sets Programmable Low TCO Easy management Power/cooling Disaggregated servers/hybrid computing Memristive memories CeNSE Cloud computing Data mining Applications Data-centric datacenter Balance Networking Photonic interconnect Business intelligence 4 OS/Software stack/eaas

5 Photonic interconnect 5

6 HP photonic lineup Hybrid laser Active cable Optical Bus cable Silicon PIC On-chip interconnect Rx Rx Rx Rx Rx Rx Rx Rx Tx Now 1 Year 3 Years 5 Years 7 Years 10 Years Single wavelength CWDM DWDM 100pJ/bit >.1 pj/bit 6

7 Photonic Bus Ch 0 Tap 1 Tap 2 Tap 3 Tap 4 Tap 5 Tap 6 Tap 7 Tap 8 Faulty Rx Ch 1 Ch 2 Ch 3 Array of 4 HMWG 8 taps/channel < 0.07 db/cm propagation losses Pellicle beamsplitter 0.15 db/tap excess losses 10 Gbs/channel Mike Tan

8 Hybrid ring laser Di Liang & John Bowers under HP Labs Innovation Research Program Award Hybrid Si-InAlGaAs platform Wafer bonding Self-aligned process 300 µw output Up to 65 C lasing observed Single transverse mode 10 GHz 3 db BW expected Can be used for CWDM link LI curves Spectrum 8 Di Liang UCSB

9 Silicon integrated circuits 10 µm silicon ring resonators Results Charge injection 1310 nm (compatibility with Ge detectors) Q ~ 10, Ω series resistance (pads) 0.18 nm shift (thermal limit) 18 db extinction 0.6 mw 3 Gbps modulation 1.2 CW tuning Al Ni Cross section PECVD Oxide cladding Si p+ Si n+ Si BOX Al Ni Si Substrate <100> Top view Eye diagram RZ 3 Gbps Normalized intensity 9 1 ON V V 0 OFF Wavelength (nm) Zhen Peng HP labs

10 Corona Optical hub Compute Network 10 TFlop/s total compute BW 20 TB/s on-chip data BW 200 W power consumption 20 TB/s off-chip data BW 50 W power consumption Up to 20x performance improvement over electrical interconnects

11 Memristive memories 1 1

12 HP s memristors Memristor = resistor + memory Small Fast Low power CMOS-ready Current (ua) Switching I-V ON OFF 50x50 nm Pt TiO 2 TiO 2-x Pt Voltage ( V ) Electrons: (-en(x) µ n φ n (x)) = 0 Holes: (ep(x) µ p φ p (x)) = 0 Ions: - (- ed i N D (x) - en D (x) µ i φ(x)) = e N D (x)/ t Poisson: -εε 0 φ(x) = e[p(x)-n(x)+ f D (x) N D (x) - f A (x) N A ] 12 Pt TiO 2 TiO 2-x Pt V mobile donors + - I fixed acceptors electronic current Stan Williams HP labs

13 Memristors scorecard Switching voltage:1-2 Volts Data retention time: years (measured) Switching current: <20µA ON/OFF ratio (>1000:1); allows multiple bits Write/Erase/Read speed: <10ns Endurance: >10 5 cycles Write/Erase/Read energy: ~pj Density: 100 Gb/cm 2 Memory Element Density CMOS Integration Switch Mechanism Bipolar / Unipolar Power Scaling Ultimate Scaling Limit Set-reset Times Maturity Metal Oxide * 0.5F 2 Excellent E-field Bipolar Good Good Conducting channel size (5nm) Good Lab-to-fab PCM *4F 2 Demonstrate d Temperature Unipolar Poor Fair Stable nanocrystal size (~10nm) Good Prototype Flash *4F 2 Excellent E-field N/A Good Fair Capacitor size Fair Product FeRAM *4F 2 Demonstrate d E-field Bipolar Good Poor Domain size (20nm) Good Product MRAM *4F 2 Poor (Fe) B-field Bipolar Poor Poor Domain size (10nm) Good Specialty product 13 * F is the technology node feature size Gio Medeiros Ribeiro HP labs

14 NVRAM on CMOS Issues that had to be overcome: 3x3 100nm nanowire planarity alignment of fine features Crossbar junctions CMOS chip with memristive devices Connecting the CMOS layer with the nanowire crossbar junctions

15 The data-centric datacenter 15

16 New architecture Rethink the datacenter around a processor with on-chip NVRAM Modular & tunable compute/memory/datastore sockets Radically simplified cores (slow/simple) Hybrid hierarchical network (for efficiency) Electrical at low level (chip board) Photonics at high level (blade datacenter) Open question: can we go photonics all the way? Old server New server 16

17 By the numbers preliminary results Socket Dual core processor100 MHz-1 GHz 100 Gb storage 1 Gb/s Board 192 sockets 0.5 Tflop, Gb/s 1 Gb/s network 65 W Blade 4 boards Optically connected Aggregated cooling Rack 24 blades Network switch included Container 36 racks 1 Pflop, 65 PB, 325 kw Performance 2x-20x better performance/watt than standard solution Stay tuned for more from HP s Exascale Computer Lab 17

18 Conclusion Computing You think is increasingly you need photonics data-centric today New technologies like memristors and photonic interconnects are needed to face this challenge wait and see what will hit you tomorrow 18

19 The stone soup slide show Memristors Stan Williams Gio Medeiros-Ribeiro Julien Borghetti, Matthew Pickett, Warren Robinett, Duncan Stewart, John Paul Strachan, Dima Strukov, Qiangfei Xia, Jianhua Yang Photonics Ray Beausoleil Zhen Peng Mike Tan Di Liang (UCSB) David Fattal, Sagi Mathai, Paul Rosenberg, Charles Santori Architecture Partha Ranganathan Jichuan Chang David Roberts Jung-Ho Ahn, Nate Binkert, Naveen Muralimanohar, Norm Jouppi, Rob Schreiber, Dana Vanatrease Business/Vision Terry Morris Greg Astfalk Pete Hartwell (CeNSE) 19 August

20 20

21 The memristor Ohm 1827 v Von Kleist 1745 i RESISTOR dv = R di INDUCTOR dφ = L di dφ/dt = v CAPACITOR dq = C dv dq /dt = i MEMRISTOR dφ = M dq q rigorous definition L. O. Chua, IEEE Trans. Circuit Theory 18, 507 (1971) Quasi-static conduction eq.- R depends on state variable w 1831 Faraday φ 1971 Chua Dynamical equation Evolution of state in time

22 4-D Address Space to access M crossbars! Virtual N 2 x N 2 crossbar M = N 2 /β 2 device in 1 st layer device in 2 nd layer wiring layer Xbar layer CMOS layer ~N 2 β 2 Xpoint devices per layer (out of N 4 total) N data/control lines N 2 access devices Can address any crosspoint in M crossbars with a single sparse set of vias! The CMOS pitch can be much larger than the crossbar pitch

The challenges of configurable hybrid Memristor-CMOS Integrated circuits fabrication

The challenges of configurable hybrid Memristor-CMOS Integrated circuits fabrication The challenges of configurable hybrid Memristor-CMOS Integrated circuits fabrication 30 nm Hewlett-Packard Laboratories, Palo Alto CA Gilberto Medeiros Ribeiro gilbertor@hp.com 2010 Hewlett-Packard Development

More information

A Nanophotonic Interconnect for High- Performance Many-Core Computation

A Nanophotonic Interconnect for High- Performance Many-Core Computation A Nanophotonic Interconnect for High- Performance Many-Core Computation Ray Beausoleil Quantum Optics Research Group Information and Quantum Systems HP Laboratories 008 Hewlett-Packard Development Company,

More information

Integration, Architecture, and Applications of 3D CMOS Memristor Circuits

Integration, Architecture, and Applications of 3D CMOS Memristor Circuits Integration, Architecture, and Applications of 3D CMOS Memristor Circuits K. T. Tim Cheng and Dimitri Strukov Univ. of California, Santa Barbara ISPD 2012 1 3D Hybrid CMOS/NANO add-on nanodevices layer

More information

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A. Rylyakov, C. Schow, B. Lee, W. Green, J. Van Campenhout, M. Yang, F. Doany, S. Assefa, C. Jahnes, J. Kash, Y. Vlasov IBM

More information

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL

NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL NEXT GENERATION SILICON PHOTONICS FOR COMPUTING AND COMMUNICATION PHILIPPE ABSIL OUTLINE Introduction Platform Overview Device Library Overview What s Next? Conclusion OUTLINE Introduction Platform Overview

More information

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab

Silicon Photonics Photo-Detector Announcement. Mario Paniccia Intel Fellow Director, Photonics Technology Lab Silicon Photonics Photo-Detector Announcement Mario Paniccia Intel Fellow Director, Photonics Technology Lab Agenda Intel s Silicon Photonics Research 40G Modulator Recap 40G Photodetector Announcement

More information

Si photonics for the Zettabyte Era. Marco Romagnoli. CNIT & TeCIP - Scuola Superiore Sant Anna

Si photonics for the Zettabyte Era. Marco Romagnoli. CNIT & TeCIP - Scuola Superiore Sant Anna Si photonics for the Zettabyte Era Marco Romagnoli CNIT & TeCIP - Scuola Superiore Sant Anna Semicon 2013 Dresden 8-10 October 2013 Zetabyte era Disaggregation at system level Integration at chip level

More information

Opportunities and challenges of silicon photonics based System-In-Package

Opportunities and challenges of silicon photonics based System-In-Package Opportunities and challenges of silicon photonics based System-In-Package ECTC 2014 Panel session : Emerging Technologies and Market Trends of Silicon Photonics Speaker : Stéphane Bernabé (Leti Photonics

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

Silicon photonics integration roadmap for applications in computing systems

Silicon photonics integration roadmap for applications in computing systems Silicon photonics integration roadmap for applications in computing systems Bert Jan Offrein Neuromorphic Devices and Systems Group 2016 IBM Corporation Outline Photonics and computing? The interconnect

More information

Silicon photonics and memories

Silicon photonics and memories Silicon photonics and memories Vladimir Stojanović Integrated Systems Group, RLE/MTL MIT Acknowledgments Krste Asanović, Christopher Batten, Ajay Joshi Scott Beamer, Chen Sun, Yon-Jin Kwon, Imran Shamim

More information

IBM T. J. Watson Research Center IBM Corporation

IBM T. J. Watson Research Center IBM Corporation Broadband Silicon Photonic Switch Integrated with CMOS Drive Electronics B. G. Lee, J. Van Campenhout, A. V. Rylyakov, C. L. Schow, W. M. J. Green, S. Assefa, M. Yang, F. E. Doany, C. V. Jahnes, R. A.

More information

A tunable Si CMOS photonic multiplexer/de-multiplexer

A tunable Si CMOS photonic multiplexer/de-multiplexer A tunable Si CMOS photonic multiplexer/de-multiplexer OPTICS EXPRESS Published : 25 Feb 2010 MinJae Jung M.I.C.S Content 1. Introduction 2. CMOS photonic 1x4 Si ring multiplexer Principle of add/drop filter

More information

The Light at the End of the Wire. Dana Vantrease + HP Labs + Mikko Lipasti

The Light at the End of the Wire. Dana Vantrease + HP Labs + Mikko Lipasti The Light at the End of the Wire Dana Vantrease + HP Labs + Mikko Lipasti 1 Goals of This Talk Why should we (architects) be interested in optics? How does on-chip optics work? What can we build with optics?

More information

TDM Photonic Network using Deposited Materials

TDM Photonic Network using Deposited Materials TDM Photonic Network using Deposited Materials ROBERT HENDRY, GILBERT HENDRY, KEREN BERGMAN LIGHTWAVE RESEARCH LAB COLUMBIA UNIVERSITY HPEC 2011 Motivation for Silicon Photonics Performance scaling becoming

More information

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs

PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs PROBE: Prediction-based Optical Bandwidth Scaling for Energy-efficient NoCs Li Zhou and Avinash Kodi Technologies for Emerging Computer Architecture Laboratory (TEAL) School of Electrical Engineering and

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects

Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects Silicon Photonics Technology Platform To Advance The Development Of Optical Interconnects By Mieke Van Bavel, science editor, imec, Belgium; Joris Van Campenhout, imec, Belgium; Wim Bogaerts, imec s associated

More information

Si CMOS Technical Working Group

Si CMOS Technical Working Group Si CMOS Technical Working Group CTR, Spring 2008 meeting Markets Interconnects TWG Breakouts Reception TWG reports Si CMOS: photonic integration E-P synergy - Integration - Standardization - Cross-market

More information

Photo-Electronic Crossbar Switching Network for Multiprocessor Systems

Photo-Electronic Crossbar Switching Network for Multiprocessor Systems Photo-Electronic Crossbar Switching Network for Multiprocessor Systems Atsushi Iwata, 1 Takeshi Doi, 1 Makoto Nagata, 1 Shin Yokoyama 2 and Masataka Hirose 1,2 1 Department of Physical Electronics Engineering

More information

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Peter De Dobbelaere Luxtera Inc. 09/19/2016 Luxtera Proprietary www.luxtera.com Luxtera Company Introduction $100B+ Shift

More information

An Example Design using the Analog Photonics Component Library. 3/21/2017 Benjamin Moss

An Example Design using the Analog Photonics Component Library. 3/21/2017 Benjamin Moss An Example Design using the Analog Photonics Component Library 3/21/2017 Benjamin Moss Component Library Elements Passive Library Elements: Component Current specs 1 Edge Couplers (Si)

More information

Silicon Optical Modulator

Silicon Optical Modulator Silicon Optical Modulator Silicon Optical Photonics Nature Photonics Published online: 30 July 2010 Byung-Min Yu 24 April 2014 High-Speed Circuits & Systems Lab. Dept. of Electrical and Electronic Engineering

More information

In Search of the Elusive All-Optical Packet Buffer

In Search of the Elusive All-Optical Packet Buffer In Search of the Elusive All-Optical Packet Buffer Rod Tucker Centre for Ultra-Broadband Information Networks (CUBIN) Department for Electrical and Electronic Engineering University of Melbourne, Australia

More information

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions

Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions Electronic-Photonic ICs for Low Cost and Scalable Datacenter Solutions Christoph Theiss, Director Packaging Christoph.Theiss@sicoya.com 1 SEMICON Europe 2016, October 27 2016 Sicoya Overview Spin-off from

More information

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli

Microphotonics Readiness for Commercial CMOS Manufacturing. Marco Romagnoli Microphotonics Readiness for Commercial CMOS Manufacturing Marco Romagnoli MicroPhotonics Consortium meeting MIT, Cambridge October 15 th, 2012 Passive optical structures based on SOI technology Building

More information

Addressing Link-Level Design Tradeoffs for Integrated Photonic Interconnects

Addressing Link-Level Design Tradeoffs for Integrated Photonic Interconnects Addressing Link-Level Design Tradeoffs for Integrated Photonic Interconnects Michael Georgas, Jonathan Leu, Benjamin Moss, Chen Sun and Vladimir Stojanović Massachusetts Institute of Technology CICC 2011

More information

Instruction manual and data sheet ipca h

Instruction manual and data sheet ipca h 1/15 instruction manual ipca-21-05-1000-800-h Instruction manual and data sheet ipca-21-05-1000-800-h Broad area interdigital photoconductive THz antenna with microlens array and hyperhemispherical silicon

More information

Optical Phase-Locking and Wavelength Synthesis

Optical Phase-Locking and Wavelength Synthesis 2014 IEEE Compound Semiconductor Integrated Circuits Symposium, October 21-23, La Jolla, CA. Optical Phase-Locking and Wavelength Synthesis M.J.W. Rodwell, H.C. Park, M. Piels, M. Lu, A. Sivananthan, E.

More information

OTemp: Optical Thermal Effect Modeling Platform User Manual

OTemp: Optical Thermal Effect Modeling Platform User Manual OTemp: Optical Thermal Effect Modeling Platform User Manual Version 1., July 214 Mobile Computing System Lab Department of Electronic and Computer Engineering The Hong Kong University of Science and Technology

More information

Challenges for On-chip Optical Interconnect

Challenges for On-chip Optical Interconnect Initial Results of Prototyping a 3-D Integrated Intra-Chip Free-Space Optical Interconnect Berkehan Ciftcioglu, Rebecca Berman, Jian Zhang, Zach Darling, Alok Garg, Jianyun Hu, Manish Jain, Peng Liu, Ioannis

More information

New advances in silicon photonics Delphine Marris-Morini

New advances in silicon photonics Delphine Marris-Morini New advances in silicon photonics Delphine Marris-Morini P. Brindel Alcatel-Lucent Bell Lab, Nozay, France New Advances in silicon photonics D. Marris-Morini, L. Virot*, D. Perez-Galacho, X. Le Roux, D.

More information

WWDM Transceiver Module for 10-Gb/s Ethernet

WWDM Transceiver Module for 10-Gb/s Ethernet WWDM Transceiver Module for 10-Gb/s Ethernet Brian E. Lemoff Hewlett-Packard Laboratories lemoff@hpl.hp.com IEEE 802.3 HSSG Interim Meeting Coeur d Alene, Idaho June 1-3, 1999 Why pursue WWDM for the LAN?

More information

Voltage Controlled Delay Line Applied with Memristor in Delay Locked Loop

Voltage Controlled Delay Line Applied with Memristor in Delay Locked Loop 2014 Fifth International Conference on Intelligent Systems, Modelling and Simulation Voltage Controlled Delay Line Applied with Memristor in Delay Locked Loop Siti Musliha Ajmal Binti Mokhtar Faculty of

More information

NON-AMPLIFIED PHOTODETECTOR USER S GUIDE

NON-AMPLIFIED PHOTODETECTOR USER S GUIDE NON-AMPLIFIED PHOTODETECTOR USER S GUIDE Thank you for purchasing your Non-amplified Photodetector. This user s guide will help answer any questions you may have regarding the safe use and optimal operation

More information

Heinrich-Hertz-Institut Berlin

Heinrich-Hertz-Institut Berlin NOVEMBER 24-26, ECOLE POLYTECHNIQUE, PALAISEAU OPTICAL COUPLING OF SOI WAVEGUIDES AND III-V PHOTODETECTORS Ludwig Moerl Heinrich-Hertz-Institut Berlin Photonic Components Dept. Institute for Telecommunications,,

More information

Innovations in Photonic Integration Platforms

Innovations in Photonic Integration Platforms Innovations in Photonic Integration Platforms September 20, 20 Burgeoning Growth Demand Disruptive Technology Video content is fast becoming a larger percentage of total internet traffic 50% Video services

More information

Optical Bus for Intra and Inter-chip Optical Interconnects

Optical Bus for Intra and Inter-chip Optical Interconnects Optical Bus for Intra and Inter-chip Optical Interconnects Xiaolong Wang Omega Optics Inc., Austin, TX Ray T. Chen University of Texas at Austin, Austin, TX Outline Perspective of Optical Backplane Bus

More information

Silicon Photonics: A Platform for Integration, Wafer Level Assembly and Packaging

Silicon Photonics: A Platform for Integration, Wafer Level Assembly and Packaging Silicon Photonics: A Platform for Integration, Wafer Level Assembly and Packaging M. Asghari Kotura Inc April 27 Contents: Who is Kotura Choice of waveguide technology Challenges and merits of Si photonics

More information

A silicon avalanche photodetector fabricated with standard CMOS technology with over 1 THz gain-bandwidth product

A silicon avalanche photodetector fabricated with standard CMOS technology with over 1 THz gain-bandwidth product A silicon avalanche photodetector fabricated with standard CMOS technology with over 1 THz gain-bandwidth product Myung-Jae Lee and Woo-Young Choi* Department of Electrical and Electronic Engineering,

More information

Emerging Highly Compact Amplification Solutions for Coherent Transmission

Emerging Highly Compact Amplification Solutions for Coherent Transmission Emerging Highly Compact Amplification Solutions for Coherent Transmission Market Focus ECOC 2017 Sep 20, 2017 Dr. Sanjai Parthasarathi Vice President, Product Marketing & Strategy II-VI Photonics Outline

More information

APSUNY PDK: Overview and Future Trends

APSUNY PDK: Overview and Future Trends APSUNY PDK: Overview and Future Trends Erman Timurdogan Analog Photonics, 1 Marina Park Drive, Suite 205, Boston, MA, 02210 erman@analogphotonics.com Silicon Photonics Integrated Circuit Process Design

More information

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation

Low Thermal Resistance Flip-Chip Bonding of 850nm 2-D VCSEL Arrays Capable of 10 Gbit/s/ch Operation Low Thermal Resistance Flip-Chip Bonding of 85nm -D VCSEL Arrays Capable of 1 Gbit/s/ch Operation Hendrik Roscher In 3, our well established technology of flip-chip mounted -D 85 nm backside-emitting VCSEL

More information

Memristor-CMOS Hybrid Integrated Circuits for Reconfigurable Logic

Memristor-CMOS Hybrid Integrated Circuits for Reconfigurable Logic Memristor-CMOS Hybrid Integrated Circuits for Reconfigurable Logic NANO LETTERS 2009 Vol. 9, No. 10 3640-3645 Qiangfei Xia,*, Warren Robinett, Michael W. Cumbie, Neel Banerjee, Thomas J. Cardinali, J.

More information

NON-AMPLIFIED HIGH SPEED PHOTODETECTOR USER S GUIDE

NON-AMPLIFIED HIGH SPEED PHOTODETECTOR USER S GUIDE NON-AMPLIFIED HIGH SPEED PHOTODETECTOR USER S GUIDE Thank you for purchasing your Non-amplified High Speed Photodetector. This user s guide will help answer any questions you may have regarding the safe

More information

Active Microring Based Tunable Optical Power Splitters

Active Microring Based Tunable Optical Power Splitters Active Microring Based Tunable Optical Power Splitters Eldhose Peter, Arun Thomas*, Anuj Dhawan*, Smruti R Sarangi Computer Science and Engineering, IIT Delhi, *Electronics and Communication Engineering,

More information

EPIC: The Convergence of Electronics & Photonics

EPIC: The Convergence of Electronics & Photonics EPIC: The Convergence of Electronics & Photonics K-Y Tu, Y.K. Chen, D.M. Gill, M. Rasras, S.S. Patel, A.E. White ell Laboratories, Lucent Technologies M. Grove, D.C. Carothers, A.T. Pomerene, T. Conway

More information

Integrated Photonics using the POET Optical InterposerTM Platform

Integrated Photonics using the POET Optical InterposerTM Platform Integrated Photonics using the POET Optical InterposerTM Platform Dr. Suresh Venkatesan CIOE Conference Shenzhen, China Sept. 5, 2018 POET Technologies Inc. TSXV: PUBLIC POET PTK.V Technologies Inc. PUBLIC

More information

VERSATILE SILICON PHOTONIC PLATFORM FOR DATACOM AND COMPUTERCOM APPLICATIONS. B Szelag CEA-Leti

VERSATILE SILICON PHOTONIC PLATFORM FOR DATACOM AND COMPUTERCOM APPLICATIONS. B Szelag CEA-Leti VERSATILE SILICON PHOTONIC PLATFORM FOR DATACOM AND COMPUTERCOM APPLICATIONS B Szelag CEA-Leti OUTLINE Silicon photonic : 200mm CMOS core technology towards 300mm Emergent needs vs core process Technological

More information

Lecture 6 Fiber Optical Communication Lecture 6, Slide 1

Lecture 6 Fiber Optical Communication Lecture 6, Slide 1 Lecture 6 Optical transmitters Photon processes in light matter interaction Lasers Lasing conditions The rate equations CW operation Modulation response Noise Light emitting diodes (LED) Power Modulation

More information

Si and InP Integration in the HELIOS project

Si and InP Integration in the HELIOS project Si and InP Integration in the HELIOS project J.M. Fedeli CEA-LETI, Grenoble ( France) ECOC 2009 1 Basic information about HELIOS HELIOS photonics ELectronics functional Integration on CMOS www.helios-project.eu

More information

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard 0.13 µm CMOS SOI Technology School of Electrical and Electronic Engineering Yonsei University 이슬아 1. Introduction 2. Architecture

More information

Low Power DSP and Photonic Integration in Optical Networks. Atul Srivastava CTO, NTT Electronics - America. Market Focus ECOC 2014

Low Power DSP and Photonic Integration in Optical Networks. Atul Srivastava CTO, NTT Electronics - America. Market Focus ECOC 2014 Low Power DSP and Photonic Integration in Optical Networks Atul Srivastava CTO, NTT Electronics - America Market Focus ECOC 2014 Outline 100G Deployment Rapid Growth in Long Haul Role of Modules New Low

More information

Zukunftstechnologie Dünnglasbasierte elektrooptische. Research Center of Microperipheric Technologies

Zukunftstechnologie Dünnglasbasierte elektrooptische. Research Center of Microperipheric Technologies Zukunftstechnologie Dünnglasbasierte elektrooptische Baugruppenträger Dr. Henning Schröder Fraunhofer IZM, Berlin, Germany Today/Overview Motivation: external roadmaps High Bandwidth and Channel Density

More information

PLC-based integrated devices for advanced modulation formats

PLC-based integrated devices for advanced modulation formats ECOC 2009 workshop 7-5 Sep. 20, 2009 PLC-based integrated devices for advanced modulation formats Y. Inoue NTT Photonics Labs. NTT Corporation NTT Photonics Laboratories Hybrid integration of photonics

More information

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors Design for MOSIS Educational Program (Research) Transmission-Line-Based, Shared-Media On-Chip Interconnects for Multi-Core Processors Prepared by: Professor Hui Wu, Jianyun Hu, Berkehan Ciftcioglu, Jie

More information

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir

Parallel Computing 2020: Preparing for the Post-Moore Era. Marc Snir Parallel Computing 2020: Preparing for the Post-Moore Era Marc Snir THE (CMOS) WORLD IS ENDING NEXT DECADE So says the International Technology Roadmap for Semiconductors (ITRS) 2 End of CMOS? IN THE LONG

More information

High Performance Accelerator. Simulation in PSpice Systems Option. Leading the Machine Intelligence Revolution. analog computing company

High Performance Accelerator. Simulation in PSpice Systems Option. Leading the Machine Intelligence Revolution. analog computing company Leading the Machine Intelligence Revolution High Performance Accelerator analog computing company Simulation in PSpice Systems Option Nihar Athreyas 2017 Spero Devices, Inc. All Rights Reserved. 1 Market

More information

Silicon Photonics Opportunity, applications & Recent Results

Silicon Photonics Opportunity, applications & Recent Results Silicon Photonics Opportunity, applications & Recent Results Dr. Mario Paniccia Intel Fellow Director, Photonics Technology Lab Intel Corporation www.intel.com/go/sp Purdue University Oct 5 2007 Agenda

More information

Chalcogenide Memory, Logic and Processing Devices. Prof C David Wright Department of Engineering University of Exeter

Chalcogenide Memory, Logic and Processing Devices. Prof C David Wright Department of Engineering University of Exeter Chalcogenide Memory, Logic and Processing Devices Prof C David Wright Department of Engineering University of Exeter (david.wright@exeter.ac.uk) Acknowledgements University of Exeter Yat-Yin Au, Jorge

More information

EE 232 Lightwave Devices Optical Interconnects

EE 232 Lightwave Devices Optical Interconnects EE 232 Lightwave Devices Optical Interconnects Sajjad Moazeni Department of Electrical Engineering & Computer Sciences University of California, Berkeley 1 Emergence of Optical Links US IT Map Hyper-Scale

More information

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array

64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array 69 64 Channel Flip-Chip Mounted Selectively Oxidized GaAs VCSEL Array Roland Jäger and Christian Jung We have designed and fabricated

More information

MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS

MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS 1 MODELING AND EVALUATION OF CHIP-TO-CHIP SCALE SILICON PHOTONIC NETWORKS Robert Hendry, Dessislava Nikolova, Sébastien Rumley, Keren Bergman Columbia University HOTI 2014 2 Chip-to-chip optical networks

More information

Sub 300 nm Wavelength III-Nitride Tunnel-Injected Ultraviolet LEDs

Sub 300 nm Wavelength III-Nitride Tunnel-Injected Ultraviolet LEDs Sub 300 nm Wavelength III-Nitride Tunnel-Injected Ultraviolet LEDs Yuewei Zhang, Sriram Krishnamoorthy, Fatih Akyol, Sadia Monika Siddharth Rajan ECE, The Ohio State University Andrew Allerman, Michael

More information

4-Channel Optical Parallel Transceiver. Using 3-D Polymer Waveguide

4-Channel Optical Parallel Transceiver. Using 3-D Polymer Waveguide 4-Channel Optical Parallel Transceiver Using 3-D Polymer Waveguide 1 Description Fujitsu Component Limited, in cooperation with Fujitsu Laboratories Ltd., has developed a new bi-directional 4-channel optical

More information

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012 Si Photonics Technology Platform for High Speed Optical Interconnect Peter De Dobbelaere 9/17/2012 ECOC 2012 - Luxtera Proprietary www.luxtera.com Overview Luxtera: Introduction Silicon Photonics: Introduction

More information

Novel Devices and Circuits for Computing

Novel Devices and Circuits for Computing Novel Devices and Circuits for Computing UCSB 594BB Winter 2013 Lecture 7: CMOL Outline CMOL Main idea 3D CMOL CMOL memory CMOL logic General purporse Threshold logic Pattern matching Hybrid CMOS/Memristor

More information

GainMaker High Output Node 5-40/ MHz

GainMaker High Output Node 5-40/ MHz Optoelectronics GainMaker High Output Node 5-40/52-1002 MHz Description The GainMaker High Output Node is designed to serve as an integral part of today s network architectures, and combines the superior

More information

Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology

Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology Bindu Madhavan and A. F. J. Levi Department of Electrical Engineering University of Southern California Los Angeles, California 90089-1111 Indexing

More information

Optimal Pairing and Non-Uniform Channel Alignment of Microringbased Transceivers for Comb Laser-Driven DWDM Silicon Photonics

Optimal Pairing and Non-Uniform Channel Alignment of Microringbased Transceivers for Comb Laser-Driven DWDM Silicon Photonics Optimal Pairing and Non-Uniform Channel Alignment of Microringbased Transceivers for Comb Laser-Driven DWDM Silicon Photonics Yuyang Wang 1, M. Ashkan Seyedi 2, Rui Wu 1, Jared Hulme 2, Marco Fiorentino

More information

GBS-9280-CXX0 5V / CWDM / Gb/s Single-Mode Gigabit Interface Converter (GBIC)

GBS-9280-CXX0 5V / CWDM / Gb/s Single-Mode Gigabit Interface Converter (GBIC) **** 5V / CWDM / 2.125 Gb/s Single-Mode Gigabit Interface Converter (GBIC) ** FEATURES l 18-Wavelength CWDM GBIC Transceivers l 2.5 Gbps Bi-directional Data Links l Compliant with 1X / 2X Fibre Channel

More information

Innovative ultra-broadband ubiquitous Wireless communications through terahertz transceivers ibrow

Innovative ultra-broadband ubiquitous Wireless communications through terahertz transceivers ibrow Project Overview Innovative ultra-broadband ubiquitous Wireless communications through terahertz transceivers ibrow Mar-2017 Presentation outline Project key facts Motivation Project objectives Project

More information

10 Gb/s Radiation-Hard VCSEL Array Driver

10 Gb/s Radiation-Hard VCSEL Array Driver 10 Gb/s Radiation-Hard VCSEL Array Driver K.K. Gan 1, H.P. Kagan, R.D. Kass, J.R. Moore, D.S. Smith Department of Physics The Ohio State University Columbus, OH 43210, USA E-mail: gan@mps.ohio-state.edu

More information

HIGH SPEED FIBER PHOTODETECTOR USER S GUIDE

HIGH SPEED FIBER PHOTODETECTOR USER S GUIDE HIGH SPEED FIBER PHOTODETECTOR USER S GUIDE Thank you for purchasing your High Speed Fiber Photodetector. This user s guide will help answer any questions you may have regarding the safe use and optimal

More information

Project: IEEE P Working Group for Wireless Personal Area Networks (WPANs)

Project: IEEE P Working Group for Wireless Personal Area Networks (WPANs) Project: IEEE P802.15 Working Group for Wireless Personal Area Networks (WPANs) Title: Feasibility test of THz channel for high-speed wireless link Date Submitted: 12 Nov 2013 Source: Jae-Young Kim, Ho-Jin

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Arithmetic Encoding for Memristive Multi-Bit Storage

Arithmetic Encoding for Memristive Multi-Bit Storage Arithmetic Encoding for Memristive Multi-Bit Storage Ravi Patel and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester Rochester, New York 14627 {rapatel,friedman}@ece.rochester.edu

More information

Optical Local Area Networking

Optical Local Area Networking Optical Local Area Networking Richard Penty and Ian White Cambridge University Engineering Department Trumpington Street, Cambridge, CB2 1PZ, UK Tel: +44 1223 767029, Fax: +44 1223 767032, e-mail:rvp11@eng.cam.ac.uk

More information

Comparison of Bandwidth Limits for On-card Electrical and Optical Interconnects for 100 Gb/s and Beyond

Comparison of Bandwidth Limits for On-card Electrical and Optical Interconnects for 100 Gb/s and Beyond Invited Paper Comparison of Bandwidth Limits for On-card Electrical and Optical Interconnects for 1 Gb/s and Beyond Petar Pepeljugoski *, Mark Ritter, Jeffrey A. Kash, Fuad Doany, Clint Schow, Young Kwark,

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

Heterogeneously Integrated Microwave Signal Generators with Narrow- Linewidth Lasers

Heterogeneously Integrated Microwave Signal Generators with Narrow- Linewidth Lasers Heterogeneously Integrated Microwave Signal Generators with Narrow- Linewidth Lasers John E. Bowers, Jared Hulme, Tin Komljenovic, Mike Davenport and Chong Zhang Department of Electrical and Computer Engineering

More information

GainMaker Optoelectronic Node 1 GHz with 42/54 MHz Split

GainMaker Optoelectronic Node 1 GHz with 42/54 MHz Split Optoelectronics GainMaker Optoelectronic Node 1 GHz with 42/54 MHz Split Description The GainMaker Node is designed to serve as the cornerstone of today s emerging fiber deeper network architectures. The

More information

Lecture 1 Introduction to Solid State Electronics

Lecture 1 Introduction to Solid State Electronics EE 471: Transport Phenomena in Solid State Devices Spring 2018 Lecture 1 Introduction to Solid State Electronics Bryan Ackland Department of Electrical and Computer Engineering Stevens Institute of Technology

More information

Convergence Challenges of Photonics with Electronics

Convergence Challenges of Photonics with Electronics Convergence Challenges of Photonics with Electronics Edward Palen, Ph.D., P.E. PalenSolutions - Optoelectronic Packaging Consulting www.palensolutions.com palensolutions@earthlink.net 415-850-8166 October

More information

Project Overview. Innovative ultra-broadband ubiquitous Wireless communications through terahertz transceivers ibrow

Project Overview. Innovative ultra-broadband ubiquitous Wireless communications through terahertz transceivers ibrow Project Overview Innovative ultra-broadband ubiquitous Wireless communications through terahertz transceivers ibrow Presentation outline Key facts Consortium Motivation Project objective Project description

More information

Arbitrary Power Splitting Couplers Based on 3x3 Multimode Interference Structures for All-optical Computing

Arbitrary Power Splitting Couplers Based on 3x3 Multimode Interference Structures for All-optical Computing Arbitrary Power Splitting Couplers Based on 3x3 Multimode Interference Structures for All-optical Computing Trung-Thanh Le Abstract--Chip level optical links based on VLSI photonic integrated circuits

More information

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016

ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 ECEN689: Special Topics in Optical Interconnects Circuits and Systems Spring 2016 Lecture 10: Electroabsorption Modulator Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

Fitting Optical Interconnects to an Electrical World- Packaging and Reliability Issues of Arrayed Optoelectronic Modules Keith Goossen, University of

Fitting Optical Interconnects to an Electrical World- Packaging and Reliability Issues of Arrayed Optoelectronic Modules Keith Goossen, University of Fitting Optical Interconnects to an Electrical World- Packaging and Reliability Issues of Arrayed Optoelectronic Modules Keith Goossen, University of Delaware 1 OUTLINE 1. Technology a. Physical rack limitations

More information

GainMaker Optoelectronic Node 1 GHz with 42/54 MHz Split

GainMaker Optoelectronic Node 1 GHz with 42/54 MHz Split Optoelectronics GainMaker Optoelectronic Node 1 GHz with 42/54 MHz Split Description The GainMaker Node is designed to serve as the cornerstone of today s emerging fiber deeper network architectures. The

More information

Fiber Optic LAN Components VF45 Quad Transceiver for 10Mb/s and 100Mb/s Ethernet

Fiber Optic LAN Components VF45 Quad Transceiver for 10Mb/s and 100Mb/s Ethernet FEATURES Key component for making a fiber optic Ethernet truly scalable and switchable from 10 to 100Mb/s Ethernet. Innovative NEW interconnect is cost competitive with copper solutions, thus enabling

More information

Limiter Diodes Features Description Chip Dimensions Model DOT Diameter (Typ.) Chip Number St l Style Inches 4 11

Limiter Diodes Features Description Chip Dimensions Model DOT Diameter (Typ.) Chip Number St l Style Inches 4 11 Features Low Loss kw Coarse Limiters 200 Watt Midrange Limiters 10 mw Clean Up Limiters 210 20 Description Alpha has pioneered the microwave limiter diode. Because all phases of manufacturing, from design

More information

WDM board-level optical communications

WDM board-level optical communications MIT Microphotonics Center Spring Meeting, May 22 nd WDM board-level optical communications Jürgen Schrage Siemens AG,, Germany Outline Introduction to board-level optical communications, WDM motivation

More information

Cisco PONC Pavan Voruganti Senior Product Manager. March 2015

Cisco PONC Pavan Voruganti Senior Product Manager. March 2015 Cisco PONC 2015 Pavan Voruganti Senior Product Manager March 2015 Bandwidth Explosion With a progressive uptake of video, IP, audio and cloud the compound annual growth rate (CAGR) of IP traffic is above

More information

Chip Scale Package Fiber Optic Transceiver Integration for Harsh Environments

Chip Scale Package Fiber Optic Transceiver Integration for Harsh Environments Chip Scale Package Fiber Optic Transceiver Integration for Harsh Environments Chuck Tabbert and Charlie Kuznia Ultra Communications, Inc. 990 Park Center Drive, Suite H Vista, CA, USA, 92081 ctabbert@

More information

GainMaker Optoelectronic Node 1 GHz with 40/52 MHz Split and RF Redundancy

GainMaker Optoelectronic Node 1 GHz with 40/52 MHz Split and RF Redundancy Optoelectronics GainMaker Optoelectronic Node 1 GHz with 40/52 MHz Split and RF Redundancy Description The GainMaker Node is designed to serve as the cornerstone of today s emerging fiber deeper network

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

High speed silicon-based optoelectronic devices Delphine Marris-Morini Institut d Electronique Fondamentale, Université Paris Sud

High speed silicon-based optoelectronic devices Delphine Marris-Morini Institut d Electronique Fondamentale, Université Paris Sud High speed silicon-based optoelectronic devices Delphine Marris-Morini Institut d Electronique Fondamentale, Université Paris Sud Data centers Optical telecommunications Environment Interconnects Silicon

More information

A Non-Linear, Ionic Drift, Spice Compatible Model for Memristors

A Non-Linear, Ionic Drift, Spice Compatible Model for Memristors 2011 International Conference on Circuits, System and Simulation IPCSIT vol.7 (2011) (2011) IACSIT Press, Singapore A Non-Linear, Ionic Drift, Spice Compatible Model for Memristors Afsaneh Shadaram 1+,

More information

Photonic Integrated Circuits for Coherent Lidar

Photonic Integrated Circuits for Coherent Lidar Photonic Integrated Circuits for Coherent Lidar Paul J. M. Suni (a), John Bowers (b), Larry Coldren (b), S.J. Ben Yoo (c) (a) Lockheed Martin Coherent Technologies, Louisville, CO, USA (b) University of

More information

A high-speed, tunable silicon photonic ring modulator integrated with ultra-efficient active wavelength control

A high-speed, tunable silicon photonic ring modulator integrated with ultra-efficient active wavelength control A high-speed, tunable silicon photonic ring modulator integrated with ultra-efficient active wavelength control Xuezhe Zheng, 1 Eric Chang, 2 Philip Amberg, 1 Ivan Shubin, 1 Jon Lexau, 2 Frankie Liu, 2

More information