DDR4 SI/PI Analysis Using IBIS5.0

Size: px
Start display at page:

Download "DDR4 SI/PI Analysis Using IBIS5.0"

Transcription

1 DDR4 SI/PI Analysis Using IBIS5.0 Socionext Inc. Yumiko Sugaya Asian IBIS Summit, Tokyo, Japan November 16, 2015

2 Outline Overview DDR4 SI/PI Analysis Issue Over Clocking issue DDR4 SI/PI Analysis Using IBIS5.0 Summary Expectation for future IBIS 2

3 Outline Overview DDR4 SI/PI Analysis Issue Over Clocking issue DDR4 SI/PI Analysis Using IBIS5.0 Summary Expectation for future IBIS 3

4 Overview Because of the Over Clocking issue, we could not use IBIS5.0 for high speed analysis, such as for DDR4. Modification of the EDA software has removed the Over Clocking issue. Therefore, the accuracy of IBIS5.0 at high speed has improved. IBIS5.0 can analyze DDR4 SI/PI with high accuracy in a short time! Simulation Time [h] 4

5 Outline Overview DDR4 SI/PI Analysis Issue Over Clocking issue DDR4 SI/PI Analysis Using IBIS5.0 Summary Expectation for future IBIS 5

6 Bandwidth(GB/s) Memory Trend DDR3 is replaced by DDR4. 64 Hi-Performance (DDR4) Mobile/Commodity (LPDDR4) 2133Mbps LPDDR2 x32 2ch DDR3 x64 1ch 1066Mbps LPDDR3 x32 2ch 2133Mbps DDR4 x64 1ch 2400Mbps 1600Mbps 1866Mbps LPDDR4 x16 4ch 3200Mbps 2667Mbps 3200Mbps 4266Mbps

7 Unit Interval [psec] Necessity of IBIS5.0 for DDR4 simulation IBIS5.0 can analyze SSO noise with high accuracy in a short time DDR4 Timing Budget Data Rate[Mbps] Decreasing Unit Interval Margin SDRAM Spec Package-Board Skew LSI Skew Must include SSO noise effect in Package-Board Skew with high accuracy SPICE Net: SSO accuracy :high Simulation Time: too long 7 IBIS5.0: SSO accuracy : high Simulation Time : short

8 IBIS5.0 issue We solved the Over Clocking issue in cooperate with EDA developer. Comparison of the simulation models. SPICE Net IBIS4.2 IBIS5.0 Simulation Time Longer Shorter Shorter SSO accuracy High Low High SI accuracy (~1600Mbps) High High High SI accuracy (1866Mbps~) High High Low High accuracy using IBIS5.0 Over Clocking issue!!! 8

9 Outline Overview DDR4 SI/PI Analysis Issue Over Clocking issue DDR4 SI/PI Analysis Using IBIS5.0 Summary Expectation for future IBIS 9

10 Voltage[V] Voltage[V] What is the Over Clocking? Old and new issue (Over Clocking) Input Controller IO SDRAM DIE VDE SDRAM IO IBIS5.0 Simulation (DDR4-2667Mbps) Input 750ps 375ps \ SDRAM DIE No 375ps pulses reproduced by Over Clocking. Time [ns] 10

11 IBIS Over Clocking mechanism(1/4) Voltage Waveform Transition Initial Delay Time Settled IBIS Low Speed Mode Pulse Width Initial Delay+ Transition Time Input Pulse Width Waveform Fall Endpoint of Falling waveform Rise Output Correct Fall Rise 11

12 IBIS Over Clocking mechanism(2/4) Voltage Waveform Transition Initial Delay Time Settled IBIS High Speed Mode(Over Clocking) Input Pulse Width Pulse Width < Initial Delay+ Transition Time Endpoint of Falling waveform Waveform Fall Initial Delay prevent switching Rise Output Fall Over Clocking Rise 12

13 IBIS Over Clocking mechanism(3/4) Voltage Waveform Transition Initial Delay Time Settled IBIS High Speed Mode(Initial Delay Cut) Input Waveform Cut Initial Delay Pulse Width Endpoint of Falling waveform Fall Rise Pulse Width = Transition Time Output It is good for IBIS4.2 (w/o SSO noise)... Fall Rise 13

14 Current Voltage IBIS Over Clocking mechanism(4/4) Waveform Transition Initial Delay Time Settled Composite Current IBIS High Speed Mode (IBIS5.0) Input Waveform Composite Current Current Changing Pulse Width Fall Fall Rise Rise Time Pulse Width < Initial Delay+ Transition Time Endpoint of (again) Falling waveform Output Traditional Engine Can not cut Initial Delay Fall Can not use IBIS5.0 at DDR4... Rise 14

15 Over Clocking modified in the EDA Software IBIS High Speed Mode (IBIS5.0) Input Pulse Width Move switching point of Fall=>Rise Waveform Fall Rise Pulse Width = Transition Time Output New Engine Composite Current Fall Falling Fall and Rise overlap Over Clocking solved Rise Rising Composite Current New Engine Fall+Rise current Fall Fall+Rise Rise 15 Regenerated Composite current

16 Voltage[V] Voltage[V] New Engine Simulation Result (Over Clocking solved) Over Clocking issue of IBIS5.0 is solved!! IBIS5.0 Simulation on New Engine (DDR4-2667Mbps) Input 750ps 375ps \ SDRAM DIE 375ps Pulses reproduced! Time [ns] 16

17 Outline Overview DDR4 SI/PI Analysis Issue Over Clocking issue DDR4 SI/PI Analysis Using IBIS5.0 Summary Expectation for future IBIS 17

18 DDR4 SI/PI Analysis Using IBIS5.0(1/3) Waveform of the SSO noise : Very Good!! Stimulus CK Toggle A/C, CNTL PRBS7 DQ Victim PRBS7 Aggressor PRBS7 DQS Victim Toggle Aggressor PRBS7 VDE Voltage waveform (Controller IO) Controller DIE Controller IO VDE VDE SDRAM IO SDRAM DIE VDE (Controller DIE) DQ wave(sdram DIE) Difference in peaks is less than 1mV!! 18

19 DDR4 SI/PI Analysis Using IBIS5.0(2/3) Stimulus CK A/C, CNTL DQ DQS Width of the EYE : Seems Good (see Expectation for future IBIS ) Toggle PRBS7 Victim Aggressor Victim Aggressor PRBS7 PRBS7 (Even/Odd 2pattern) Toggle Toggle DDR4 TX DQS-DQ EYE Waveform (@SDRAM DIE) 19

20 DDR4 SI/PI Analysis Using IBIS5.0(3/3) Simulation Time : Excellent!!! Transition Analysis Time:60ns(one cycle of PRBS7) Simulation Time [h] (9.2Days) Can not use for prototyping Better suited for prototyping (A number of What-If analyses in one day) 20

21 Outline Overview DDR4 SI/PI Analysis Issue Over Clocking issue DDR4 SI/PI Analysis Using IBIS5.0 Summary Expectation for future IBIS 21

22 Summary Because of the Over Clocking issue, we could not use IBIS5.0 for high speed analysis, such as for DDR4. Modification of the EDA software has removed the Over Clocking issue. Therefore, the accuracy of IBIS5.0 at high speed has improved. IBIS5.0 can analyze DDR4 SI/PI with high accuracy in a short time! Simulation Time IBIS5.0 result: High accuracy 22 Short simulation time

23 Outline Overview DDR4 SI/PI Analysis Issue Over Clocking issue DDR4 SI/PI Analysis Using IBIS5.0 Summary Expectation for future IBIS 23

24 Expectation for future IBIS Remaining issue on IBIS5.0 IBIS5.0 does not show IO Delay Penalty accurately IBIS5.0 modeling only final-buffer, pre-buffer Delay Penalty can not be considered. 22ps different For further simulation accuracy and capability, support of the pre-buffer delay penalty is strongly desired. 24

25

TITLE. Capturing (LP)DDR4 Interface PSIJ and RJ Performance. Image. Topic: Topic: John Ellis, Synopsys, Inc. Topic: malesuada blandit euismod.

TITLE. Capturing (LP)DDR4 Interface PSIJ and RJ Performance. Image. Topic: Topic: John Ellis, Synopsys, Inc. Topic: malesuada blandit euismod. TITLE Topic: o Nam elementum commodo mattis. Pellentesque Capturing (LP)DDR4 Interface PSIJ and RJ Performance malesuada blandit euismod. Topic: John Ellis, Synopsys, Inc. o o Nam elementum commodo mattis.

More information

ULTRASCALE DDR4 DE-EMPHASIS AND CTLE FEATURE OPTIMIZATION WITH STATISTICAL ENGINE FOR BER SPECIFICATION

ULTRASCALE DDR4 DE-EMPHASIS AND CTLE FEATURE OPTIMIZATION WITH STATISTICAL ENGINE FOR BER SPECIFICATION ULTRASCALE DDR4 DE-EMPHASIS AND CTLE FEATURE OPTIMIZATION WITH STATISTICAL ENGINE FOR BER SPECIFICATION Penglin Niu, penglin@xilinx.com Fangyi Rao, fangyi_rao@keysight.com Juan Wang, juanw@xilinx.com Gary

More information

Asian IBIS Summit, Tokyo, Japan

Asian IBIS Summit, Tokyo, Japan Asian IBIS Summit, Tokyo, Japan Satoshi Nakamizo / 中溝哲士 12 Nov. 2018 Keysight Technologies Japan K.K. T h e d a t a e y e i s c l o s i n g 1600 3200 6400 Memory channel BW limited Rj improving slowly

More information

Automotive PCB SI and PI analysis

Automotive PCB SI and PI analysis Automotive PCB SI and PI analysis SI PI Analysis Signal Integrity S-Parameter Timing analysis Eye diagram Power Integrity Loop / Partial inductance DC IR-Drop AC PDN Impedance Power Aware SI Signal Integrity

More information

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi High Speed Design Issues and Jitter Estimation Techniques Jai Narayan Tripathi (jainarayan.tripathi@st.com) Outline Part 1 High-speed Design Issues Signal Integrity Power Integrity Jitter Power Delivery

More information

TITLE. Image. Topic: Topic: Hee-Soo o LEE, Keysight Technologies Cindy Cui, Keysight Technologies

TITLE. Image. Topic: Topic: Hee-Soo o LEE, Keysight Technologies Cindy Cui, Keysight Technologies TITLE Topic: Accurate o Nam elementum Statistical-Based commodo mattis. Pellentesque DDR4 Margin Estimation using malesuada SSN blandit Induced euismod. Jitter Model Topic: Hee-Soo o LEE, Keysight Technologies

More information

System Co-design and optimization for high performance and low power SoC s

System Co-design and optimization for high performance and low power SoC s System Co-design and optimization for high performance and low power SoC s Siva S Kothamasu, Texas Instruments Inc, Dallas Snehamay Sinha, Texas Instruments Inc, Dallas Amit Brahme, Texas Instruments India

More information

PDS Impact for DDR Low Cost Design

PDS Impact for DDR Low Cost Design PDS Impact for DDR3-1600 Low Cost Design Jack W.C. Lin Sr. AE Manager jackl@cadence.com Aug. g 13 2013 Cadence, OrCAD, Allegro, Sigrity and the Cadence logo are trademarks of Cadence Design Systems, Inc.

More information

Adding On-Chip Capacitance in IBIS Format for SSO Simulation

Adding On-Chip Capacitance in IBIS Format for SSO Simulation Adding On-Chip Capacitance in IBIS Format for SSO Simulation Raymond Y. Chen SIGRITY, Inc. Jan. 2004 DesignCon 2004 - IBIS Summit Presentation Agenda 1. Is IBIS good for SSO simulation 2. SSO simulation

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

Modeling System Signal Integrity Uncertainty Considerations

Modeling System Signal Integrity Uncertainty Considerations white paper Intel FPGA Modeling System Signal Integrity Uncertainty Considerations Authors Ravindra Gali High-Speed I/O Applications Engineering, Intel Corporation Zhi Wong High-Speed I/O Applications

More information

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER World s First LPDDR3 Enabling for Mobile Application Processors System Contents Introduction Problem Statements at Early mobile platform Root-cause, Enablers

More information

Overcoming Obstacles to Closing Timing for DDR and Beyond. John Ellis Sr. Staff R&D Engineer Synopsys, Inc.

Overcoming Obstacles to Closing Timing for DDR and Beyond. John Ellis Sr. Staff R&D Engineer Synopsys, Inc. Overcoming Obstacles to Closing Timing for DDR3-1600 and Beyond John Ellis Sr. Staff R&D Engineer Synopsys, Inc. Agenda Timing budgets 1600 2133Mbps? Static vs. Dynamic Uncertainty Sources Benefits of

More information

IBIS Simulation for High-Speed Memory Interface Board Suggestions : How to use IBIS model correctly

IBIS Simulation for High-Speed Memory Interface Board Suggestions : How to use IBIS model correctly IBIS Simulation for High-Speed Memory Interface Board Suggestions : How to use IBIS model correctly Masaki Kirinaka, Akiko Tsukada FUJITSU INTERCONNECT TECHNOLOGIES LIMITED Asian IBIS Summit Tokyo, JAPAN

More information

DDR4 memory interface: Solving PCB design challenges

DDR4 memory interface: Solving PCB design challenges DDR4 memory interface: Solving PCB design challenges Chang Fei Yee - July 23, 2014 Introduction DDR SDRAM technology has reached its 4th generation. The DDR4 SDRAM interface achieves a maximum data rate

More information

DesignCon Signal and Power Integrity for a 1600 Mbps DDR3 PHY in Wirebond Package

DesignCon Signal and Power Integrity for a 1600 Mbps DDR3 PHY in Wirebond Package DesignCon 2011 Signal and Power Integrity for a 1600 Mbps DDR3 PHY in Wirebond Package June Feng, Rambus Inc. [Email: jfeng@rambus.com] Ralf Schmitt, Rambus Inc. Hai Lan, Rambus Inc. Yi Lu, Rambus Inc.

More information

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model HSD Strategic Intent Provide the industry s premier HSD EDA software. Integration of premier

More information

Gigabit Transmit Distortion Testing at UNH

Gigabit Transmit Distortion Testing at UNH Gigabit Transmit Distortion Testing at UNH Gig TX Distortion The purpose of the Gig TX distortion test is to make sure the DUT does not add so much distortion to the transmitted signal that the link partner's

More information

TECHNICAL NOTE TN DDR2 DESIGN GUIDE FOR TWO-DIMM SYSTEMS DDR2-533 MEMORY DESIGN GUIDE FOR TWO-DIMM UNBUFFERED SYSTEMS

TECHNICAL NOTE TN DDR2 DESIGN GUIDE FOR TWO-DIMM SYSTEMS DDR2-533 MEMORY DESIGN GUIDE FOR TWO-DIMM UNBUFFERED SYSTEMS TECHNICL NOTE DDR2-533 MEMORY DESIGN GUIDE FOR TWO-DIMM UNBUFFERED SYSTEMS Overview DDR2 memory busses vary depending on the intended market for the finished product. Some products must support four or

More information

Bridging the Measurement and Simulation Gap Sarah Boen Marketing Manager Tektronix

Bridging the Measurement and Simulation Gap Sarah Boen Marketing Manager Tektronix Bridging the Measurement and Simulation Gap Sarah Boen Marketing Manager Tektronix 1 Agenda Synergy between simulation and lab based measurements IBIS-AMI overview Simulation and measurement correlation

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

Backchannel Modeling and Simulation Using Recent Enhancements to the IBIS Standard

Backchannel Modeling and Simulation Using Recent Enhancements to the IBIS Standard Backchannel Modeling and Simulation Using Recent Enhancements to the IBIS Standard By Ken Willis, Product Engineering Architect; Ambrish Varma, Senior Principal Software Engineer; Dr. Kumar Keshavan, Senior

More information

An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation

An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation Also presented at the January 31, 2005 IBIS Summit SIGRITY, INC. Sam Chitwood Raymond Y. Chen Jiayuan Fang March 2005

More information

Flexible Signal Conditioning with the Help of the Agilent 81134A Pulse Pattern Generator

Flexible Signal Conditioning with the Help of the Agilent 81134A Pulse Pattern Generator Flexible Signal Conditioning with the Help of the Agilent 81134A Pulse Pattern Generator Version 1.0 Introduction The 81134A provides the ultimate timing accuracy and signal performance. The high signal

More information

Correlation of Model Simulations and Measurements

Correlation of Model Simulations and Measurements Correlation of Model Simulations and Measurements Roy Leventhal Leventhal Design & Communications Presented June 5, 2007 IBIS Summit Meeting, San Diego, California Correlation of Model Simulations and

More information

A Time-Saving Method for Analyzing Signal Integrity in DDR Memory Buses

A Time-Saving Method for Analyzing Signal Integrity in DDR Memory Buses A Time-Saving Method for Analyzing Signal Integrity in DDR Memory Buses Application Note 1591 This application note covers new tools and measurement techniques for characterizing and validating signal

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

Zynq-7000 SoC, PS DDR - Which registers are relevant to the DDRC training, and how are they used?

Zynq-7000 SoC, PS DDR - Which registers are relevant to the DDRC training, and how are they used? Zynq-7000 SoC, PS DDR - Which registers are relevant to the DDRC training, and how are they used? Note: Most registers have 4 copies - each corresponds to a single byte-lane. Write DQS delay / write leveling

More information

SIGNAL INTEGRITY ANALYSIS AND MODELING

SIGNAL INTEGRITY ANALYSIS AND MODELING 1.00mm Pitch BGA Socket Adapter System SIGNAL INTEGRITY ANALYSIS AND MODELING Rev. 2 www.advanced.com Signal Integrity Data Reporting At Advanced Interconnections Corporation, our Signal Integrity reporting

More information

Verigy V93000 HSM DDR3 64 sites Memory Test System

Verigy V93000 HSM DDR3 64 sites Memory Test System Verigy V93000 HSM DDR3 64 sites Memory Test System Technical Specifications CONTENTS 1. System overview 2 2. Timing 4 2.1 Fast timing 4 2.2 STD Timing 6 3. Digital channels 7 3.1 FAST Driver 7 3.2 STD

More information

IBIS-AMI Correlation and BIRD Update

IBIS-AMI Correlation and BIRD Update IBIS-AMI Correlation and BIRD Update SiSoft IBIS-ATM Working Group 4/1/08 Signal Integrity Software, Inc. Overview DesignCon IBIS Summit presentation demonstrated interoperability and performance SiSoft

More information

Extending IBIS-AMI to Support Back-Channel Communications DesignCon IBIS Summit February 3, 2011 Santa Clara, CA

Extending IBIS-AMI to Support Back-Channel Communications DesignCon IBIS Summit February 3, 2011 Santa Clara, CA Extending IBIS-AMI to Support Back-Channel Communications DesignCon IBIS Summit February 3, 2011 Santa Clara, CA Kumar Keshavan - Sigrity Marcus Van Ierssel Snowbush IP (Gennum) Ken Willis - Sigrity Agenda

More information

Myoung Joon Choi, Vishram S. Pandit Intel Corp.

Myoung Joon Choi, Vishram S. Pandit Intel Corp. Myoung Joon Choi, Vishram S. Pandit Intel Corp. IBIS Summit at DesignCon 2010 Acknowledgements: Woong Hwan Ryu, Joe Salmon Copyright 2010, Intel Corporation. All rights reserved. Need for SI/PI Co-analysis

More information

Power integrity is more than decoupling capacitors The Power Integrity Ecosystem. Keysight HSD Seminar Mastering SI & PI Design

Power integrity is more than decoupling capacitors The Power Integrity Ecosystem. Keysight HSD Seminar Mastering SI & PI Design Power integrity is more than decoupling capacitors The Power Integrity Ecosystem Keysight HSD Seminar Mastering SI & PI Design Signal Integrity Power Integrity SI and PI Eco-System Keysight Technologies

More information

Managing Cross-talk Noise

Managing Cross-talk Noise Managing Cross-talk Noise Rajendran Panda Motorola Inc., Austin, TX Advanced Tools Organization Central in-house CAD tool development and support organization catering to the needs of all design teams

More information

Ultrascale DDR4 De-emphasis and CTLE Feature Optimization with Statistical Engine for BER Specification

Ultrascale DDR4 De-emphasis and CTLE Feature Optimization with Statistical Engine for BER Specification DesignCon 2015 Ultrascale DDR4 De-emphasis and CTLE Feature Optimization with Statistical Engine for BER Specification Penglin Niu, Xilinx Inc Fangyi Rao, Keysight Technologies Juan Wang, Xilinx Inc Gary

More information

DesignCon Analysis of Crosstalk Effects on Jitter in Transceivers. Daniel Chow, Altera Corporation

DesignCon Analysis of Crosstalk Effects on Jitter in Transceivers. Daniel Chow, Altera Corporation DesignCon 2008 Analysis of Crosstalk Effects on Jitter in Transceivers Daniel Chow, Altera Corporation dchow@altera.com Abstract As data rates increase, crosstalk becomes an increasingly important issue.

More information

Impedance Matching: Terminations

Impedance Matching: Terminations by Barry Olney IN-CIRCUIT DESIGN PTY LTD AUSTRALIA column BEYOND DESIGN Impedance Matching: Terminations The impedance of the trace is extremely important, as any mismatch along the transmission path will

More information

Optimizing On Die Decap in a System at Early Stage of Design Cycle

Optimizing On Die Decap in a System at Early Stage of Design Cycle Optimizing On Die Decap in a System at Early Stage of Design Cycle Naresh Dhamija Pramod Parameswaran Sarika Jain Makeshwar Kothandaraman Praveen Soora Disclaimer: The scope of approach presented is limited

More information

06-496r3 SAS-2 Electrical Specification Proposal. Kevin Witt SAS-2 Phy Working Group 1/16/07

06-496r3 SAS-2 Electrical Specification Proposal. Kevin Witt SAS-2 Phy Working Group 1/16/07 06-496r3 SAS-2 Electrical Specification Proposal Kevin Witt SAS-2 Phy Working Group 1/16/07 Overview Motivation Multiple SAS-2 Test Chips Have Been Built and Tested, SAS-2 Product Designs have Started

More information

Robust I/O circuit scheme for world s first over 1.6Gbps LPDDR3

Robust I/O circuit scheme for world s first over 1.6Gbps LPDDR3 DesignCon 2013 Robust I/O circuit scheme for world s first over 1.6Gbps LPDDR3 Kyoung Hoi Koo, SAMSUNG Electronics. [kiminkoo@samsung.com] Woong Hwan Ryu, SAMSUNG Electronics. Sang Min Lee, SAMSUNG Electronics.

More information

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines December 2007, ver. 1.0 Introduction Application Note 508 Low-cost FPGAs designed on 90-nm and 65-nm process technologies are made to support

More information

SSC Applied High-speed Serial Interface Signal Generation and Analysis by Analog Resources. Hideo Okawara Verigy Japan K.K.

SSC Applied High-speed Serial Interface Signal Generation and Analysis by Analog Resources. Hideo Okawara Verigy Japan K.K. SSC Applied High-speed Serial Interface Signal Generation and Analysis by Analog Resources Hideo Okawara Verigy Japan K.K. 1 Purpose High-speed Serial Interface SSC Applied Signal Waveform Application

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Touchstone v2.0 SI/PI S- Parameter Models for Simultaneous Switching Noise (SSN) Analysis of DDR4 Memory Interface Applications.

Touchstone v2.0 SI/PI S- Parameter Models for Simultaneous Switching Noise (SSN) Analysis of DDR4 Memory Interface Applications. DesignCon 2014 Touchstone v2.0 SI/PI S- Parameter Models for Simultaneous Switching Noise (SSN) Analysis of DDR4 Memory Interface Applications. Romi Mayder, Xilinx, Inc. romi.mayder@xilinx.com Raymond

More information

IEEE CX4 Quantitative Analysis of Return-Loss

IEEE CX4 Quantitative Analysis of Return-Loss IEEE CX4 Quantitative Analysis of Return-Loss Aaron Buchwald & Howard Baumer Mar 003 Return Loss Issues for IEEE 0G-Base-CX4 Realizable Is the spec realizable with standard packages and I/O structures

More information

IBIS 5.0 AMI Basic Principles. Basis for existing models and existing flows

IBIS 5.0 AMI Basic Principles. Basis for existing models and existing flows IBIS 5.0 AMI Basic Principles Basis for existing models and existing flows Walter Katz IBIS AMI October 20, 2009 Signal Integrity Software, Inc. High Speed SerDes Challenges and Simplifications Simplifications

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC0 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC0 74HC/HCT/HCU/HCMOS Logic Package Information The IC0 74HC/HCT/HCU/HCMOS

More information

ASNT_PRBS43A 48Gbps PRBS7/PRBS15 Generator with USB Control Interface

ASNT_PRBS43A 48Gbps PRBS7/PRBS15 Generator with USB Control Interface ASNT_PRBS43A 48Gbps PRBS7/PRBS15 Generator with USB Control Interface 11ps Rise, 16ps Fall time for muxed PRBS data output 17ps Rise/Fall time for sync output 19ps Rise/Fall time for half-rate data outputs

More information

PDN design and analysis methodology in SI&PI codesign

PDN design and analysis methodology in SI&PI codesign PDN design and analysis methodology in SI&PI codesign www.huawei.com Asian IBIS Summit, November 9, 2010, Shenzhen China Luo Zipeng (luozipeng@huawei.com) Liu Shuyao (liushuyao@huawei.com) HUAWEI TECHNOLOGIES

More information

OIF CEI 6G LR OVERVIEW

OIF CEI 6G LR OVERVIEW OIF CEI 6G LR OVERVIEW Graeme Boyd, Yuriy Greshishchev T10 SAS-2 WG meeting, Houston, 25-26 May 2005 www.pmc-sierra.com 1 Outline! Why CEI-6G LR is of Interest to SAS-2?! CEI-6G- LR Specification Methodology!

More information

Analyze and Optimize 32- to 56- Gbps Serial Link Channels

Analyze and Optimize 32- to 56- Gbps Serial Link Channels Analyze and Optimize 32- to 56- Gbps Serial Link Channels January 26, 2017 Al Neves Chief Technologist Wild River Technology Jack Carrel SerDes Applications Engineer Xilinx Heidi Barnes SI/PI Applications

More information

06-011r0 Towards a SAS-2 Physical Layer Specification. Kevin Witt 11/30/2005

06-011r0 Towards a SAS-2 Physical Layer Specification. Kevin Witt 11/30/2005 06-011r0 Towards a SAS-2 Physical Layer Specification Kevin Witt 11/30/2005 Physical Layer Working Group Goal Draft a Specification which will: 1. Meet the System Designers application requirements, 2.

More information

Picosecond Time Stretcher and Time-to- Amplitude Converter Design and Simulations

Picosecond Time Stretcher and Time-to- Amplitude Converter Design and Simulations Picosecond Time Stretcher and Time-to- Amplitude Converter Design and Simulations Introduction Fukun Tang Enrico Fermi Institute, The University of Chicago Proposed Picosecond (psec) Time Stretcher psec

More information

Experience at INFN Padova on constrained PCB design Roberto Isocrate INFN-Padova

Experience at INFN Padova on constrained PCB design Roberto Isocrate INFN-Padova Experience at INFN Padova on constrained PCB design Roberto Isocrate INFN-Padova Experience at INFN Padova on constrained design 1. Why do we need Signal Integrity (SI) analysis (and constrained design)?

More information

Virtex-5 FPGA RocketIO GTP Transceiver IBIS-AMI Signal Integrity Simulation Kit User Guide

Virtex-5 FPGA RocketIO GTP Transceiver IBIS-AMI Signal Integrity Simulation Kit User Guide Virtex-5 FPGA RocketIO GTP Transceiver IBIS-AMI Signal Integrity Simulation Kit User Guide for SiSoft Quantum Channel Designer Notice of Disclaimer The information disclosed to you hereunder (the Materials

More information

EDI CON USA Addressing DDR5 design challenges with IBIS-AMI modeling techniques. Todd Westerhoff, SiSoft Doug Burns, SiSoft Eric Brock, SiSoft

EDI CON USA Addressing DDR5 design challenges with IBIS-AMI modeling techniques. Todd Westerhoff, SiSoft Doug Burns, SiSoft Eric Brock, SiSoft EDI CON USA 2017 Addressing DDR5 design challenges with IBIS-AMI modeling techniques Todd Westerhoff, SiSoft Doug Burns, SiSoft Eric Brock, SiSoft This page intentionally blank to support double-sided

More information

Using IBIS Models for Timing Analysis

Using IBIS Models for Timing Analysis Application Report SPRA839A - April 2003 Using IBIS Models for Timing Analysis ABSTRACT C6000 Hardware Applications Today s high-speed interfaces require strict timings and accurate system design. To achieve

More information

Impact of NFSI on the clock circuit of a Gigabit Ethernet switch

Impact of NFSI on the clock circuit of a Gigabit Ethernet switch Impact of NFSI on the clock circuit of a Gigabit Ethernet switch Massiva Zouaoui, Etienne Sicard, Henri Braquet, Ghislain Rudelou, Emmanuel Marsy and Gilles Jacquemod CONTENTS 1. Context 2. Objectives

More information

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY

ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY ANSYS CPS SOLUTION FOR SIGNAL AND POWER INTEGRITY Rémy FERNANDES Lead Application Engineer ANSYS 1 2018 ANSYS, Inc. February 2, 2018 ANSYS ANSYS - Engineering simulation software leader Our industry reach

More information

Issues with C_comp and Differential Multi-stage IBIS Models. Michael Mirmak Intel Corporation. IBIS Summit DesignCon East 2004 April 5, 2004.

Issues with C_comp and Differential Multi-stage IBIS Models. Michael Mirmak Intel Corporation. IBIS Summit DesignCon East 2004 April 5, 2004. Issues with C_comp and Differential Multi-stage IBIS Models Michael Mirmak Intel Corporation IBIS Summit DesignCon East 2004 April 5, 2004 Page 1 Agenda Background Typical serial/diff. interface buffer

More information

Virtex-5 FPGA RocketIO GTX Transceiver IBIS-AMI Signal Integrity Simulation Kit User Guide

Virtex-5 FPGA RocketIO GTX Transceiver IBIS-AMI Signal Integrity Simulation Kit User Guide Virtex-5 FPGA RocketIO GTX Transceiver IBIS-AMI Signal Integrity Simulation Kit User Guide for SiSoft Quantum Channel Designer Notice of Disclaimer The information disclosed to you hereunder (the Materials

More information

DesignCon Applying IBIS-AMI techniques to DDR5 analysis. Todd Westerhoff, SiSoft Doug Burns, SiSoft Eric Brock, SiSoft

DesignCon Applying IBIS-AMI techniques to DDR5 analysis. Todd Westerhoff, SiSoft Doug Burns, SiSoft Eric Brock, SiSoft DesignCon 2018 Applying IBIS-AMI techniques to DDR5 analysis Todd Westerhoff, SiSoft Doug Burns, SiSoft Eric Brock, SiSoft This page intentionally blank to support double-sided printing. Yes, we know it

More information

DFEEYE Reference Receiver Solutions for SAS-2 Compliance Testing r0

DFEEYE Reference Receiver Solutions for SAS-2 Compliance Testing r0 DFEEYE Reference Receiver Solutions for SAS-2 Compliance Testing 08-330r0 Kevin Witt 8-14-08 1 Overview SAS-2 Specification Compliance Framework is based on Eye opening after a Reference DFE Receiver StatEye

More information

Signal Integrity and Clock System Design

Signal Integrity and Clock System Design Signal Integrity and Clock System Design Allan Liu, Applications Engineer, IDT Introduction Signal integrity is the art of getting a signal from point A to point B with minimum distortion to that signal.

More information

Signal Integrity Management in an SoC Physical Design Flow

Signal Integrity Management in an SoC Physical Design Flow Signal Integrity Management in an SoC Physical Design Flow Murat Becer Ravi Vaidyanathan Chanhee Oh Rajendran Panda Motorola, Inc., Austin, TX Presenter: Rajendran Panda Talk Outline Functional and Delay

More information

CERTIFICATE OF CALIBRATION

CERTIFICATE OF CALIBRATION CERTIFICATE OF CALIBRATION Issued by: Pico Technology Ltd. Certificate Number: 9999 of: James House, Colmworth Business Park, St. Neots, Cambridgeshire, Signature: PE19 8YP UNITED KINGDOM Tel: +44 (0)

More information

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications 3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications Darryl Kostka, CST of America Taigon Song and Sung Kyu Lim, Georgia Institute of Technology Outline Introduction TSV Array

More information

行動裝置高速數位介面及儲存技術. 克服 MIPI PHY UniPro UniPort-M UFS 與 (LP)DDR4 測試挑戰 Master the latest MIPI PHY UniPro UniPort-M UFS and (LP)DDR4 Test Challenges

行動裝置高速數位介面及儲存技術. 克服 MIPI PHY UniPro UniPort-M UFS 與 (LP)DDR4 測試挑戰 Master the latest MIPI PHY UniPro UniPort-M UFS and (LP)DDR4 Test Challenges 行動裝置高速數位介面及儲存技術 克服 MIPI PHY UniPro UniPort-M UFS 與 (LP)DDR4 測試挑戰 Master the latest MIPI PHY UniPro UniPort-M UFS and (LP)DDR4 Test Challenges Dec. 2016 Jacky Yu 1 Agenda 2 MIPI 實體層測試 C-PHY D-PHY M-PHY

More information

EE273 Lecture 5 Noise Part 2 Signal Return Crosstalk, Inter-Symbol Interference, Managing Noise

EE273 Lecture 5 Noise Part 2 Signal Return Crosstalk, Inter-Symbol Interference, Managing Noise Copyright 2004 by WJD and HCB, all rights reserved. 1 EE273 Lecture 5 Noise Part 2 Signal Return Crosstalk, Inter-Symbol Interference, Managing Noise January 26, 2004 Heinz Blennemann Stanford University

More information

Comparison of Time Domain and Statistical IBIS-AMI Analyses Mike LaBonte SiSoft

Comparison of Time Domain and Statistical IBIS-AMI Analyses Mike LaBonte SiSoft Comparison of Time Domain and Statistical IBIS-AMI Analyses Mike LaBonte SiSoft Asian IBIS Summit 2017 Taipei, ROC November 15, 2017 9 Combinations of TX and RX Model Types AMI file has: GetWave_Exists

More information

Comparison of Time Domain and Statistical IBIS-AMI Analyses

Comparison of Time Domain and Statistical IBIS-AMI Analyses Comparison of Time Domain and Statistical IBIS-AMI Analyses Mike LaBonte SiSoft Asian IBIS Summit 2017 Shanghai, PRC November 13, 2017 9 Combinations of TX and RX Model Types AMI file has: GetWave_Exists

More information

DesignCon FPGA I/O Timing Variations Due to Simultaneous Switching Outputs. Zhe Li, Altera Corporation

DesignCon FPGA I/O Timing Variations Due to Simultaneous Switching Outputs. Zhe Li, Altera Corporation DesignCon 2008 FPGA I/O Timing Variations Due to Simultaneous Switching Outputs Zhe Li, Altera Corporation ZLI@altera.com, 408-544-7762 Iliya Zamek, Altera Corporation izamek@altera.com, 408-544-8116 Peter

More information

DP Array DPAM/DPAF Final Inch Designs in Serial ATA Generation 1 Applications 10mm Stack Height. REVISION DATE: January 11, 2005

DP Array DPAM/DPAF Final Inch Designs in Serial ATA Generation 1 Applications 10mm Stack Height. REVISION DATE: January 11, 2005 Application Note DP Array DPAM/DPAF Final Inch Designs in Serial ATA Generation 1 Applications 10mm Stack Height REVISION DATE: January 11, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed

More information

Serial ATA International Organization

Serial ATA International Organization Serial ATA International Organization Version 1.0 May 29, 2008 Serial ATA Interoperability Program Revision 1.3 Tektronix MOI for Rx/Tx Tests (DSA/CSA8200 based sampling instrument with IConnect SW) This

More information

Closing the loop part 1: Why use simulation tools for high speed signal channel design?

Closing the loop part 1: Why use simulation tools for high speed signal channel design? Closing the loop part 1: Why use simulation tools for high speed signal channel design? Riccardo Giacometti Application Engineer Agilent EEsof EDA Page 1 High Speed Digital Design Flow Pre-Layout w/channel

More information

Technical Note LVTTL Derating for Slew Rate Violations

Technical Note LVTTL Derating for Slew Rate Violations Technical Note LVTTL Derating for Slew Rate Violations TN-48-09: LVTTL Derating for Slew Rate Violations Introduction Introduction Setup Time SDRAM timings are tested and guaranteed under certain slew

More information

INTEGRATED CIRCUITS. For a complete data sheet, please also download:

INTEGRATED CIRCUITS. For a complete data sheet, please also download: INTEGRATED CIRCUITS DATA SHEET For a complete data sheet, please also download: The IC06 74HC/HCT/HCU/HCMOS Logic Family Specifications The IC06 74HC/HCT/HCU/HCMOS Logic Package Information The IC06 74HC/HCT/HCU/HCMOS

More information

EECS 141: SPRING 98 FINAL

EECS 141: SPRING 98 FINAL University of California College of Engineering Department of Electrical Engineering and Computer Science J. M. Rabaey 511 Cory Hall TuTh3:3-5pm e141@eecs EECS 141: SPRING 98 FINAL For all problems, you

More information

Course Introduction. Content 20 pages 3 questions. Learning Time 30 minutes

Course Introduction. Content 20 pages 3 questions. Learning Time 30 minutes Purpose The intent of this course is to provide you with information about the main features of the S08 Timer/PWM (TPM) interface module and how to configure and use it in common applications. Objectives

More information

Agilent E4832A ParBERT 675 Mb/s Data Module Agilent E4838A ParBERT 675 Mb/s Generator Front-End Agilent E4835A ParBERT 675 Mb/s Analyzer Front-End

Agilent E4832A ParBERT 675 Mb/s Data Module Agilent E4838A ParBERT 675 Mb/s Generator Front-End Agilent E4835A ParBERT 675 Mb/s Analyzer Front-End Agilent E4832A ParBERT 675 Mb/s Data Module Agilent E4838A ParBERT 675 Mb/s Generator Front-End Agilent E4835A ParBERT 675 Mb/s Analyzer Front-End Technical Specifications E4832A 675 Mb/s generator/analyzer

More information

SSTV V 13-bit to 26-bit SSTL_2 registered buffer for stacked DDR DIMM

SSTV V 13-bit to 26-bit SSTL_2 registered buffer for stacked DDR DIMM INTEGRATED CIRCUITS 2000 Dec 01 File under Integrated Circuits ICL03 2002 Feb 19 FEATURES Stub-series terminated logic for 2.5 V (SSTL_2) Optimized for stacked DDR (Double Data Rate) SDRAM applications

More information

Circuit Design for a 2.2 GByte/s Memory Interface

Circuit Design for a 2.2 GByte/s Memory Interface Circuit Design for a 2.2 GByte/s Memory Interface Stefanos Sidiropoulos Work done at Rambus Inc with A. Abhyankar, C. Chen, K. Chang, TJ Chin, N. Hays, J. Kim, Y. Li, G. Tsang, A. Wong, D. Stark Increasing

More information

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

CACTI-IO Technical Report

CACTI-IO Technical Report CACTI-IO Technical Report Norman P. Jouppi, Andrew B. Kahng, Naveen Muralimanohar, Vaishnav Srinivas HP Laboratories HPL-2013-79 Keyword(s): IO; Interconnect; SERDES; PHY; Memory bus; DDR; LPDDR Abstract:

More information

Tektronix Active Time Domain Method of Implementation: FDR Active Cables

Tektronix Active Time Domain Method of Implementation: FDR Active Cables InfiniBand Trade Association Revision 1.6 03/27/2014 Tektronix Active Time Domain Method of Implementation: FDR Active Cables Credit 20 th Century Fox 1974, adaptation of Mary Shelley's novel Frankenstein

More information

Timing Analysis Principles for Digital PCBs, Parts 1, 2 and 3

Timing Analysis Principles for Digital PCBs, Parts 1, 2 and 3 1 Timing Analysis Principles for Digital PCBs, Parts 1, 2 and 3 By Dr. Abe Riazi Tuesday, 01 August 2006 A look at common-clock bus timing concepts. Ed.: Parts 1 and 2 were published in the April 2006

More information

I Main coupling Sub- coupling

I Main coupling Sub- coupling High-speed, high-bandwidth DRAM memory bus with Crosstalk Transfer Logic (XTL) interface Hideki Osaka Toyohiko Komatsu Hitachi Ltd., Hitachi Ltd., Kanagawa, Japan Kanagawa, Japan oosaka@sdl. hitachi. co.jp

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

High Speed Characterization Report

High Speed Characterization Report High Speed Characterization Report HDR-108449-01-HHSC HDR-108449-02-HHSC HDR-108449-03-HHSC HDR-108449-04-HHSC FILE: HDR108449-01-04-HHSC.pdf DATE: 03-29-04 Table of Contents Introduction. 1 Product Description.

More information

Institute for Particle and Nuclear Studies, High Energy Accelerator Research Organization 1-1 Oho, Tsukuba, Ibaraki , Japan

Institute for Particle and Nuclear Studies, High Energy Accelerator Research Organization 1-1 Oho, Tsukuba, Ibaraki , Japan 1, Hiroaki Aihara, Masako Iwasaki University of Tokyo 7-3-1 Hongo, Bunkyo-ku, Tokyo 113-0033, Japan E-mail: chojyuro@gmail.com Manobu Tanaka Institute for Particle and Nuclear Studies, High Energy Accelerator

More information

Introduction to EMI/EMC Challenges and Their Solution

Introduction to EMI/EMC Challenges and Their Solution Introduction to EMI/EMC Challenges and Their Solution Dr. Hany Fahmy HSD Application Expert Agilent Technologies Davy Pissort, K.U. Leuven Charles Jackson, Nvidia Charlie Shu, Nvidia Chen Wang, Nvidia

More information

AWG-GS bit 2.5GS/s Arbitrary Waveform Generator

AWG-GS bit 2.5GS/s Arbitrary Waveform Generator KEY FEATURES 2.5 GS/s Real Time Sample Rate 14-bit resolution 2 Channels Long Memory: 64 MS/Channel Direct DAC Out - DC Coupled: 1.6 Vpp Differential / 0.8 Vpp > 1GHz Bandwidth RF Amp Out AC coupled -10

More information

2.5/3.3V 1:22 HIGH-PERFORMANCE, LOW-VOLTAGE PECL BUS CLOCK DRIVER & TRANSLATOR w/ INTERNAL TERMINATION

2.5/3.3V 1:22 HIGH-PERFORMANCE, LOW-VOLTAGE PECL BUS CLOCK DRIVER & TRANSLATOR w/ INTERNAL TERMINATION 2.5/3.3V 1:22 HIGH-PERFORMANCE, LOW-VOLTAGE PECL BUS CLOCK DRIVER & TRANSLATOR w/ INTERNAL TERMINATION FEATURES LVPECL or LVDS input to 22 LVPECL outputs 100K ECL compatible outputs LVDS input includes

More information

Reducing Noise in Power Distribution Networks On time and In Budget

Reducing Noise in Power Distribution Networks On time and In Budget TITLE Reducing Noise in Power Distribution Networks On time and In Budget Steve Sandler, (Picotest) Image Reducing Noise in Power Distribution Networks On time and In Budget Steve Sandler, (Picotest) So,

More information

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization Sung-Geun Kim, Tongsung Kim, Dae-Hyun Kwon, and Woo-Young Choi Department of Electrical and Electronic Engineering,

More information

SPLVDS032RH. Quad LVDS Line Receiver with Extended Common Mode FEATURES DESCRIPTION PIN DIAGRAM. Preliminary Datasheet June

SPLVDS032RH. Quad LVDS Line Receiver with Extended Common Mode FEATURES DESCRIPTION PIN DIAGRAM. Preliminary Datasheet June FEATURES DESCRIPTION DC to 400 Mbps / 200 MHz low noise, low skew, low power operation - 400 ps (max) channel-to-channel skew - 300 ps (max) pulse skew - 7 ma (max) power supply current LVDS inputs conform

More information

9200 Series, 300 MHz Programmable Pulse Generator

9200 Series, 300 MHz Programmable Pulse Generator 9200 Series, 300 MHz Programmable Pulse Generator Main Features Variable edge pulses (1 nsec to 1 msec) at rates to 250 MHz Fast 300 psec edges to 300 MHz Wide output swings to 32 V at pulse rates to 50

More information

Chip-to-module far-end TX eye measurement proposal

Chip-to-module far-end TX eye measurement proposal Chip-to-module far-end TX eye measurement proposal Raj Hegde & Adam Healey IEEE P802.3bs 400 Gb/s Ethernet Task Force March 2017 Vancouver, BC, Canada 1 Background In smith_3bs_01a_0915, it was shown that

More information

Signal Technologies 1

Signal Technologies 1 Signal Technologies 1 Gunning Transceiver Logic (GTL) - evolution Evolved from BTL, the backplane transceiver logic, which in turn evolved from ECL (emitter-coupled logic) Setup of an open collector bus

More information