Overcoming Obstacles to Closing Timing for DDR and Beyond. John Ellis Sr. Staff R&D Engineer Synopsys, Inc.

Size: px
Start display at page:

Download "Overcoming Obstacles to Closing Timing for DDR and Beyond. John Ellis Sr. Staff R&D Engineer Synopsys, Inc."

Transcription

1 Overcoming Obstacles to Closing Timing for DDR and Beyond John Ellis Sr. Staff R&D Engineer Synopsys, Inc.

2 Agenda Timing budgets Mbps? Static vs. Dynamic Uncertainty Sources Benefits of Deskew Technologies Reducing Dynamic Uncertainty Crosstalk, ISI and Reflections Package Power Delivery Conclusions 2

3 DDRn Timing DDR Interfaces are Source Synchronous Total delay doesn t matter (within reason) Delay difference or Skew is Critical Strobe (DQS) Set up Hold Vref ASIC DRAM1 DRAM2 Strobe Data (DQ) Delay of DQ must tightly match the delay of differential DQS Optimal Strobe placement maximizes Set up and Hold Data Vref (Data Write Shown) 3

4 Three Regions of Uncertainty Host Launch signals in quadrature during Write Operations, Center Strobe within Data during Reads SDRAM Receive signals during Writes, launch edge-aligned during Reads Interconnect Maintain alignment and signal integrity between Host and SDRAM Power delivery 90 Strobe Write Strobe Read Data Launched 90 Out of Phase with Strobe Data Received Edge- Aligned with strobe 4

5 Uncertainty Contributors within the Host Signal skew between Data and Strobe within the PHY Clock Skew with in the PHY Across silicon process and line width variation Jitter from source clock or DLL if applicable Delay differences between rising and falling edges. Granularity of delay line structures 5

6 SDRAM Consumes ~50% of the Available Read and Write Budgets at 1600Mbps+ Set Up and Hold Output Skew and Hold Skew Factor 6

7 Agenda Timing budgets Mbps? Static vs. Dynamic Uncertainty Sources Benefits of Deskew Technologies Reducing Dynamic Uncertainty Crosstalk, ISI and Reflections Package Power Delivery Conclusions 7

8 Static Uncertainty Static uncertainty is timing uncertainty that does not vary with switching patterns or number of signals switching Example Routing skew between DQ and DQS Skew: PCB, PHY, Internal Clock Skew Deskew can remove most static uncertainty Training sequence implemented Bits are first aligned Strobe is placed in center of newly constructed eye. 8

9 Dynamic Uncertainty Dynamic uncertainty will vary with switching patterns. Example Crosstalk, ISI, SSO pushout, reflections from modal impedance changes Cannot be reliably deskewed since it can vary on a bit by bit basis. ISI A Q Crosstalk SSO Pushout 9

10 Agenda Timing budgets Mbps? Static vs. Dynamic Uncertainty Sources Benefits of Deskew Technologies Reducing Dynamic Uncertainty Crosstalk, ISI and Reflections Package Power Delivery Conclusions 10

11 Dynamic Uncertainty Crosstalk. Timing Impact of Cross section No coupling Odd Mode Even Mode In Stripline: Signals will travel at the same velocities no matter what coupling modes are excited because of the uniform dielectric. In Microstrip: Different coupling modes will travel at different velocities because of the impact of the mixed dielectric cross section. Stripline Microstrip 11

12 Different Modes Yield Different Flight Times No Coupling Odd Mode Even Mode Odd coupled arrives 27ps earlier Even coupled arrives 31ps later Total uncertainty is 59 ps 12

13 Longer Length = Greater Divergence ODD EVEN 59ps 2 routed length yields 59ps of uncertainty ODD 2 Routed Length EVEN 148ps 6 routed length yields 148ps of uncertainty 6 Routed Length 13

14 Striplines Have Equal Mode Velocities Whether uncoupled or Even or Odd coupled, mode velocities are the same in stripline. 148ps ODD 6 Microstrip EVEN Total divergence in 6 of stripline ~30ps EVEN ODD and UNCOUPLED 30ps 6 Stripline 14

15 Options for Reducing Timing Divergence Increased spacing equals increase isolation Increased soldermask thickness yields more uniform dielectric constant No Coupling Odd Mode Even Mode 15

16 Intersymbol Interference and DDR Signaling 2 Vs. 6 1 Load Vs. 2 Loads 554ps 525ps 554ps 500s Routed lengths on DDR signals are relatively short, < 6 typically. Fly-by Address can be considerably longer The number of SDRAM loads will have a larger ISI effect on the interface. 16

17 Termination Mismatch can be a Significant Contributor 468ps 525ps 17

18 ISI and Reflections lead to Uncertainty at the Vref Level 95ps 39ps 24ps 19ps 22ps 24ps 18

19 Switching Modes also Impact PCB Impedance 59Ω TDR traces 71Ω 49Ω 19

20 How SSO Impacts Timing 303ps 294ps 73ps 257ps 230ps 20

21 Use DDR Devices as a Guide for Power Ratios DDR3 SDRAM Device Try to match the via/ball count to the number of power/ground pad connections as closely as possible 21

22 Carry Ratios Through Entire Connection 1/2 the number of connections as a minimum should be the goal. It is important that the via/ball connections be regularly spaced around the die to minimize the size of the current loops. Place signal trace vias near vias from the reference layer to minimize current loop size and resulting crosstalk 22

23 Agenda Timing budgets Mbps? Static vs. Dynamic Uncertainty Sources Benefits of Deskew Technologies Reducing Dynamic Uncertainty Crosstalk, ISI and Reflections Package Power Delivery Conclusions 23

24 In Summary As data rates exceed 1600Mbps, the SDRAM requirements will consume ~50% of the budget. Deskew solutions are available, but they will only correct for static offsets between the data and its related strobe. They don t work on dynamic contributors. Good interconnect design can retrieve a great deal of margin. Pay attention to modal effects especially in microstrip configurations. Pay attention to package power/ground to signal ratios as well as placement of vias and balls to control inductance. 24

25 25 Predictable Success

TITLE. Capturing (LP)DDR4 Interface PSIJ and RJ Performance. Image. Topic: Topic: John Ellis, Synopsys, Inc. Topic: malesuada blandit euismod.

TITLE. Capturing (LP)DDR4 Interface PSIJ and RJ Performance. Image. Topic: Topic: John Ellis, Synopsys, Inc. Topic: malesuada blandit euismod. TITLE Topic: o Nam elementum commodo mattis. Pellentesque Capturing (LP)DDR4 Interface PSIJ and RJ Performance malesuada blandit euismod. Topic: John Ellis, Synopsys, Inc. o o Nam elementum commodo mattis.

More information

Modeling System Signal Integrity Uncertainty Considerations

Modeling System Signal Integrity Uncertainty Considerations white paper Intel FPGA Modeling System Signal Integrity Uncertainty Considerations Authors Ravindra Gali High-Speed I/O Applications Engineering, Intel Corporation Zhi Wong High-Speed I/O Applications

More information

PDS Impact for DDR Low Cost Design

PDS Impact for DDR Low Cost Design PDS Impact for DDR3-1600 Low Cost Design Jack W.C. Lin Sr. AE Manager jackl@cadence.com Aug. g 13 2013 Cadence, OrCAD, Allegro, Sigrity and the Cadence logo are trademarks of Cadence Design Systems, Inc.

More information

TABLE OF CONTENTS 1 Fundamentals Transmission Line Parameters... 29

TABLE OF CONTENTS 1 Fundamentals Transmission Line Parameters... 29 TABLE OF CONTENTS 1 Fundamentals... 1 1.1 Impedance of Linear, Time-Invariant, Lumped-Element Circuits... 1 1.2 Power Ratios... 2 1.3 Rules of Scaling... 5 1.3.1 Scaling of Physical Size... 6 1.3.1.1 Scaling

More information

PHY DESIGN RECOMMENDATIONS FOR PCB LAYOUT

PHY DESIGN RECOMMENDATIONS FOR PCB LAYOUT PHY DESIGN RECOMMENDATIONS FOR PCB LAYOUT Ron Raybarman s-raybarman1@ti ti.com Texas Instruments Topics of discussion: 1. Specific for 1394 - (Not generic PCB layout) Etch lengths Termination Network Skew

More information

The number of layers The number and types of planes (power and/or ground) The ordering or sequence of the layers The spacing between the layers

The number of layers The number and types of planes (power and/or ground) The ordering or sequence of the layers The spacing between the layers PCB Layer Stackup PCB layer stackup (the ordering of the layers and the layer spacing) is an important factor in determining the EMC performance of a product. The following four factors are important with

More information

DatasheetDirect.com. Visit to get your free datasheets. This datasheet has been downloaded by

DatasheetDirect.com. Visit  to get your free datasheets. This datasheet has been downloaded by DatasheetDirect.com Your dedicated source for free downloadable datasheets. Over one million datasheets Optimized search function Rapid quote option Free unlimited downloads Visit www.datasheetdirect.com

More information

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug JEDEX 2003 Memory Futures (Track 2) High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug Brock J. LaMeres Agilent Technologies Abstract Digital systems are turning out

More information

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group Title: Package Model Proposal Source: Nanju Na (nananju@us.ibm.com) Jean Audet (jaudet@ca.ibm.com), David R Stauffer (dstauffe@us.ibm.com) Date: Dec 27 IBM Systems and Technology Group Abstract: New package

More information

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model HSD Strategic Intent Provide the industry s premier HSD EDA software. Integration of premier

More information

DesignCon Signal and Power Integrity for a 1600 Mbps DDR3 PHY in Wirebond Package

DesignCon Signal and Power Integrity for a 1600 Mbps DDR3 PHY in Wirebond Package DesignCon 2011 Signal and Power Integrity for a 1600 Mbps DDR3 PHY in Wirebond Package June Feng, Rambus Inc. [Email: jfeng@rambus.com] Ralf Schmitt, Rambus Inc. Hai Lan, Rambus Inc. Yi Lu, Rambus Inc.

More information

DDR4 memory interface: Solving PCB design challenges

DDR4 memory interface: Solving PCB design challenges DDR4 memory interface: Solving PCB design challenges Chang Fei Yee - July 23, 2014 Introduction DDR SDRAM technology has reached its 4th generation. The DDR4 SDRAM interface achieves a maximum data rate

More information

Cost-minimized Double Die DRAM Packaging for Ultra-High Performance DDR3 and DDR4 Multi-Rank Server DIMMs

Cost-minimized Double Die DRAM Packaging for Ultra-High Performance DDR3 and DDR4 Multi-Rank Server DIMMs Cost-minimized Double Die DRAM Packaging for Ultra-High Performance DDR3 and DDR4 Multi-Rank Server DIMMs Richard Crisp 1, Bill Gervasi 2, Wael Zohni 1, Bel Haba 3 1 Invensas Corp, 2902 Orchard Parkway,

More information

DRAM System Signaling and Timing

DRAM System Signaling and Timing CHAPTER 9 DRAM System Signaling and Timing In any electronic system, multiple devices are connected together, and signals are sent from one point in the system to another point in the system for the devices

More information

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014 Considerations in High-Speed High Performance Die-Package-Board Co-Design Jenny Jiang Altera Packaging Department October 2014 Why Co-Design? Complex Multi-Layer BGA Package Horizontal and vertical design

More information

100 MHz 2-Way SMP Pentium II Xeon Processor/Intel 440GX AGPset AGTL+ Layout Guidelines

100 MHz 2-Way SMP Pentium II Xeon Processor/Intel 440GX AGPset AGTL+ Layout Guidelines E AP-829 APPLICATION NOTE 100 MHz 2-Way SMP Pentium II Xeon Processor/Intel 440GX AGPset AGTL+ Layout Guidelines June 1998 Order Number: 243775-001 Information in this document is provided in connection

More information

PI3DPX1207B Layout Guideline. Table of Contents. 1 Layout Design Guideline Power and GROUND High-speed Signal Routing...

PI3DPX1207B Layout Guideline. Table of Contents. 1 Layout Design Guideline Power and GROUND High-speed Signal Routing... PI3DPX1207B Layout Guideline Table of Contents 1 Layout Design Guideline... 2 1.1 Power and GROUND... 2 1.2 High-speed Signal Routing... 3 2 PI3DPX1207B EVB layout... 8 3 Related Reference... 8 Page 1

More information

TMS320C6474 DDR2 Implementation Guidelines

TMS320C6474 DDR2 Implementation Guidelines TMS320C6474 Implementation Guidelines Ronald Lerner... ABSTRACT This document provides implementation instructions for the interface contained on the C6474 DSP. Contents 1 Prerequisites... 2 2 C6474 Supported

More information

Technical Note. GDDR6: Design Guide. Introduction. TN-ED-04: GDDR6 Design Guide. Introduction

Technical Note. GDDR6: Design Guide. Introduction. TN-ED-04: GDDR6 Design Guide. Introduction TN-ED-04: GDDR6 Design Guide Introduction Technical Note GDDR6: Design Guide Introduction GDDR6 is a high-speed synchronous dynamic random-access (SDRAM) memory designed to support applications requiring

More information

ECE 497 JS Lecture - 22 Timing & Signaling

ECE 497 JS Lecture - 22 Timing & Signaling ECE 497 JS Lecture - 22 Timing & Signaling Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements - Signaling Techniques (4/27) - Signaling

More information

Signal/Power Integrity Analysis of High-Speed Memory Module with Meshed Reference Plane 1

Signal/Power Integrity Analysis of High-Speed Memory Module with Meshed Reference Plane 1 , pp.119-128 http//dx.doi.org/10.14257/ijca.2018.11.7.10 Signal/Power Integrity Analysis of High-Speed Memory Module with Meshed Reference Plane 1 Moonjung Kim Institute of IT Convergence Technology, Dept.

More information

High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516

High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516 High Speed Clock Distribution Design Techniques for CDC 509/516/2509/2510/2516 APPLICATION REPORT: SLMA003A Boyd Barrie Bus Solutions Mixed Signals DSP Solutions September 1998 IMPORTANT NOTICE Texas Instruments

More information

1 Introduction External Component Requirements AC Coupling Capacitors on high speed lanes... 2

1 Introduction External Component Requirements AC Coupling Capacitors on high speed lanes... 2 PI3TB212 PI3TB212 Thunderbolt Application Information Table of Contents 1 Introduction... 2 2 External Component Requirements... 2 2.1 AC Coupling Capacitors on high speed lanes... 2 2.2 Pull-down Resistor

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

High-Speed Circuit Board Signal Integrity

High-Speed Circuit Board Signal Integrity High-Speed Circuit Board Signal Integrity For a listing of recent titles in the Artech House Microwave Library, turn to the back of this book. High-Speed Circuit Board Signal Integrity Stephen C. Thierauf

More information

Adjusting Signal Timing (Part 1)

Adjusting Signal Timing (Part 1) TECHNICAL PUBLICATION Adjusting Signal Timing (Part 1) Douglas Brooks, President UltraCAD Design, Inc. October 2003 www.mentor.com ABSTRACT It is becoming a routine requirement for PCB designers to tune

More information

Automotive PCB SI and PI analysis

Automotive PCB SI and PI analysis Automotive PCB SI and PI analysis SI PI Analysis Signal Integrity S-Parameter Timing analysis Eye diagram Power Integrity Loop / Partial inductance DC IR-Drop AC PDN Impedance Power Aware SI Signal Integrity

More information

Texas Instruments DisplayPort Design Guide

Texas Instruments DisplayPort Design Guide Texas Instruments DisplayPort Design Guide April 2009 1 High Speed Interface Applications Introduction This application note presents design guidelines, helping users of Texas Instruments DisplayPort devices

More information

Multilayer PCB Stackup Planning

Multilayer PCB Stackup Planning by Barry Olney In-Circuit Design Pty Ltd Australia This Application Note details tried and proven techniques for planning high speed Multilayer PCB Stackup configurations. Planning the multilayer PCB stackup

More information

High-Speed Digital System Design Fall Semester. Naehyuck Chang Dept. of EECS/CSE Seoul National University

High-Speed Digital System Design Fall Semester. Naehyuck Chang Dept. of EECS/CSE Seoul National University High-Speed Digital System Design 4190.309 2008 Fall Semester Naehyuck Chang Dept. of EECS/CSE Seoul National University naehyuck@snu.ac.kr 1 Traditional demand Speed is one of the most important design

More information

A Co-design Methodology of Signal Integrity and Power Integrity

A Co-design Methodology of Signal Integrity and Power Integrity DesignCon 2006 A Co-design Methodology of Signal Integrity and Power Integrity Woong Hwan Ryu, Intel Corporation woong.hwan.ryu@intel.com Min Wang, Intel Corporation min.wang@intel.com 1 Abstract As PCB

More information

HOW SMALL PCB DESIGN TEAMS CAN SOLVE HIGH-SPEED DESIGN CHALLENGES WITH DESIGN RULE CHECKING MENTOR GRAPHICS

HOW SMALL PCB DESIGN TEAMS CAN SOLVE HIGH-SPEED DESIGN CHALLENGES WITH DESIGN RULE CHECKING MENTOR GRAPHICS HOW SMALL PCB DESIGN TEAMS CAN SOLVE HIGH-SPEED DESIGN CHALLENGES WITH DESIGN RULE CHECKING MENTOR GRAPHICS H I G H S P E E D D E S I G N W H I T E P A P E R w w w. p a d s. c o m INTRODUCTION Coping with

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 9: Noise Sources Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 5 Report and Prelab 6 due Apr. 3 Stateye

More information

System Co-Design and Co-Analysis Approach to Implementing the XDR Memory System of the Cell Broadband Engine Processor

System Co-Design and Co-Analysis Approach to Implementing the XDR Memory System of the Cell Broadband Engine Processor System Co-Design and Co-Analysis Approach to Implementing the XDR Memory System of the Cell Broadband Engine Processor Realizing 3.2 Gbps Data Rate per Memory Lane in Low Cost, High Volume Production Wai-Yeung

More information

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines December 2007, ver. 1.0 Introduction Application Note 508 Low-cost FPGAs designed on 90-nm and 65-nm process technologies are made to support

More information

The Challenges of Differential Bus Design

The Challenges of Differential Bus Design The Challenges of Differential Bus Design February 20, 2002 presented by: Arthur Fraser TechKnowledge Page 1 Introduction Background Historically, differential interconnects were often twisted wire pairs

More information

Optimizing On Die Decap in a System at Early Stage of Design Cycle

Optimizing On Die Decap in a System at Early Stage of Design Cycle Optimizing On Die Decap in a System at Early Stage of Design Cycle Naresh Dhamija Pramod Parameswaran Sarika Jain Makeshwar Kothandaraman Praveen Soora Disclaimer: The scope of approach presented is limited

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

High-Speed PCB Design und EMV Minimierung

High-Speed PCB Design und EMV Minimierung TRAINING Bei dem hier beschriebenen Training handelt es sich um ein Cadence Standard Training. Sie erhalten eine Dokumentation in englischer Sprache. Die Trainingssprache ist deutsch, falls nicht anders

More information

TECHNICAL NOTE TN DDR2 DESIGN GUIDE FOR TWO-DIMM SYSTEMS DDR2-533 MEMORY DESIGN GUIDE FOR TWO-DIMM UNBUFFERED SYSTEMS

TECHNICAL NOTE TN DDR2 DESIGN GUIDE FOR TWO-DIMM SYSTEMS DDR2-533 MEMORY DESIGN GUIDE FOR TWO-DIMM UNBUFFERED SYSTEMS TECHNICL NOTE DDR2-533 MEMORY DESIGN GUIDE FOR TWO-DIMM UNBUFFERED SYSTEMS Overview DDR2 memory busses vary depending on the intended market for the finished product. Some products must support four or

More information

PCB Routing Guidelines for Signal Integrity and Power Integrity

PCB Routing Guidelines for Signal Integrity and Power Integrity PCB Routing Guidelines for Signal Integrity and Power Integrity Presentation by Chris Heard Orange County chapter meeting November 18, 2015 1 Agenda Insertion Loss 101 PCB Design Guidelines For SI Simulation

More information

PCB Trace Impedance: Impact of Localized PCB Copper Density

PCB Trace Impedance: Impact of Localized PCB Copper Density PCB Trace Impedance: Impact of Localized PCB Copper Density Gary A. Brist, Jeff Krieger, Dan Willis Intel Corp Hillsboro, OR Abstract Trace impedances are specified and controlled on PCBs as their nominal

More information

EMC problems from Common Mode Noise on High Speed Differential Signals

EMC problems from Common Mode Noise on High Speed Differential Signals EMC problems from Common Mode Noise on High Speed Differential Signals Bruce Archambeault, PhD Alma Jaze, Sam Connor, Jay Diepenbrock IBM barch@us.ibm.com 1 Differential Signals Commonly used for high

More information

Logic Analyzer Probing Techniques for High-Speed Digital Systems

Logic Analyzer Probing Techniques for High-Speed Digital Systems DesignCon 2003 High-Performance System Design Conference Logic Analyzer Probing Techniques for High-Speed Digital Systems Brock J. LaMeres Agilent Technologies Abstract Digital systems are turning out

More information

The data rates of today s highspeed

The data rates of today s highspeed HIGH PERFORMANCE Measure specific parameters of an IEEE 1394 interface with Time Domain Reflectometry. Michael J. Resso, Hewlett-Packard and Michael Lee, Zayante Evaluating Signal Integrity of IEEE 1394

More information

Optimization of Wafer Level Test Hardware using Signal Integrity Simulation

Optimization of Wafer Level Test Hardware using Signal Integrity Simulation June 7-10, 2009 San Diego, CA Optimization of Wafer Level Test Hardware using Signal Integrity Simulation Jason Mroczkowski Ryan Satrom Agenda Industry Drivers Wafer Scale Test Interface Simulation Simulation

More information

Schematic-Level Transmission Line Models for the Pyramid Probe

Schematic-Level Transmission Line Models for the Pyramid Probe Schematic-Level Transmission Line Models for the Pyramid Probe Abstract Cascade Microtech s Pyramid Probe enables customers to perform production-grade, on-die, full-speed test of RF circuits for Known-Good

More information

SINCE the performance of personal computers (PCs) has

SINCE the performance of personal computers (PCs) has 334 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 5, MAY 2010 Multi-Slot Main Memory System for Post DDR3 Jaejun Lee, Sungho Lee, and Sangwook Nam, Member, IEEE Abstract This

More information

Differential Pair Routing

Differential Pair Routing C O L U M N BEYOND DESIGN Differential Pair Routing by Barry Olney IN-CIRCUIT DESIGN PTY LTD, AUSTRALIA A differential pair is two complementary transmission lines that transfer equal and opposite signals

More information

1Gbps to 12.5Gbps Passive Equalizer for Backplanes and Cables

1Gbps to 12.5Gbps Passive Equalizer for Backplanes and Cables 19-46; Rev 2; 2/8 EVALUATION KIT AVAILABLE 1Gbps to 12.Gbps General Description The is a 1Gbps to 12.Gbps equalization network that compensates for transmission medium losses encountered with FR4 and cables.

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Plane Crazy, Part 2 BEYOND DESIGN. by Barry Olney

Plane Crazy, Part 2 BEYOND DESIGN. by Barry Olney by Barry Olney column BEYOND DESIGN Plane Crazy, Part 2 In my recent four-part series on stackup planning, I described the best configurations for various stackup requirements. But I did not have the opportunity

More information

FPGA World Conference Stockholm 08 September John Steinar Johnsen -Josse- Senior Technical Advisor

FPGA World Conference Stockholm 08 September John Steinar Johnsen -Josse- Senior Technical Advisor FPGA World Conference Stockholm 08 September 2015 John Steinar Johnsen -Josse- Senior Technical Advisor Agenda FPGA World Conference Stockholm 08 September 2015 - IPC 4101C Materials - Routing out from

More information

Measurement of Laddering Wave in Lossy Serpentine Delay Line

Measurement of Laddering Wave in Lossy Serpentine Delay Line International Journal of Applied Science and Engineering 2006.4, 3: 291-295 Measurement of Laddering Wave in Lossy Serpentine Delay Line Fang-Lin Chao * Department of industrial Design, Chaoyang University

More information

DDR4 SI/PI Analysis Using IBIS5.0

DDR4 SI/PI Analysis Using IBIS5.0 DDR4 SI/PI Analysis Using IBIS5.0 Socionext Inc. Yumiko Sugaya Asian IBIS Summit, Tokyo, Japan November 16, 2015 Outline Overview DDR4 SI/PI Analysis Issue Over Clocking issue DDR4 SI/PI Analysis Using

More information

ULTRASCALE DDR4 DE-EMPHASIS AND CTLE FEATURE OPTIMIZATION WITH STATISTICAL ENGINE FOR BER SPECIFICATION

ULTRASCALE DDR4 DE-EMPHASIS AND CTLE FEATURE OPTIMIZATION WITH STATISTICAL ENGINE FOR BER SPECIFICATION ULTRASCALE DDR4 DE-EMPHASIS AND CTLE FEATURE OPTIMIZATION WITH STATISTICAL ENGINE FOR BER SPECIFICATION Penglin Niu, penglin@xilinx.com Fangyi Rao, fangyi_rao@keysight.com Juan Wang, juanw@xilinx.com Gary

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

I Main coupling Sub- coupling

I Main coupling Sub- coupling High-speed, high-bandwidth DRAM memory bus with Crosstalk Transfer Logic (XTL) interface Hideki Osaka Toyohiko Komatsu Hitachi Ltd., Hitachi Ltd., Kanagawa, Japan Kanagawa, Japan oosaka@sdl. hitachi. co.jp

More information

Signal Integrity, Part 1 of 3

Signal Integrity, Part 1 of 3 by Barry Olney feature column BEYOND DESIGN Signal Integrity, Part 1 of 3 As system performance increases, the PCB designer s challenges become more complex. The impact of lower core voltages, high frequencies

More information

A Technical Discussion of TDR Techniques, S-parameters, RF Sockets, and Probing Techniques for High Speed Serial Data Designs

A Technical Discussion of TDR Techniques, S-parameters, RF Sockets, and Probing Techniques for High Speed Serial Data Designs A Technical Discussion of TDR Techniques, S-parameters, RF Sockets, and Probing Techniques for High Speed Serial Data Designs Presenter: Brian Shumaker DVT Solutions, LLC, 650-793-7083 b.shumaker@comcast.net

More information

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray Agenda Problems of On-chip Global Signaling Channel Design Considerations

More information

Circuit Design for a 2.2 GByte/s Memory Interface

Circuit Design for a 2.2 GByte/s Memory Interface Circuit Design for a 2.2 GByte/s Memory Interface Stefanos Sidiropoulos Work done at Rambus Inc with A. Abhyankar, C. Chen, K. Chang, TJ Chin, N. Hays, J. Kim, Y. Li, G. Tsang, A. Wong, D. Stark Increasing

More information

Asian IBIS Summit, Tokyo, Japan

Asian IBIS Summit, Tokyo, Japan Asian IBIS Summit, Tokyo, Japan Satoshi Nakamizo / 中溝哲士 12 Nov. 2018 Keysight Technologies Japan K.K. T h e d a t a e y e i s c l o s i n g 1600 3200 6400 Memory channel BW limited Rj improving slowly

More information

25Gb/s Ethernet Channel Design in Context:

25Gb/s Ethernet Channel Design in Context: 25Gb/s Ethernet Channel Design in Context: Channel Operating Margin (COM) Brandon Gore April 22 nd 2016 Backplane and Copper Cable Ethernet Interconnect Channel Compliance before IEEE 802.3bj What is COM?

More information

polarinstruments.com

polarinstruments.com Controlled Impedance Design System for Multiple Dielectric PCBs Boundary Element Method Field Solver models multiple dielectric pcbs and local resin rich areas Si8000m Impedance goal seeking shortens design

More information

Effective Routing of Multiple Loads

Effective Routing of Multiple Loads feature column BEYOND DESIGN Effective Routing of Multiple Loads by Barry Olney In a previous Beyond Design, Impedance Matching: Terminations, I discussed various termination strategies and concluded that

More information

An Engineer s Guide to Automated Testing of High-Speed In ter faces

An Engineer s Guide to Automated Testing of High-Speed In ter faces An Engineer s Guide to Automated Testing of High-Speed In ter faces For a list ing of re cent ti tles in the Artech House Mi cro wave Li brary, turn to the back of this book. An Engineer s Guide to Automated

More information

CROSSTALK DUE TO PERIODIC PLANE CUTOUTS. Jason R. Miller, Gustavo Blando, Istvan Novak Sun Microsystems

CROSSTALK DUE TO PERIODIC PLANE CUTOUTS. Jason R. Miller, Gustavo Blando, Istvan Novak Sun Microsystems CROSSTALK DUE TO PERIODIC PLANE CUTOUTS Jason R. Miller, Gustavo Blando, Istvan Novak Sun Microsystems 1 Outline 1 Introduction 2 Crosstalk Theory 3 Measurement 4 Simulation correlation 5 Parameterized

More information

Demystifying Vias in High-Speed PCB Design

Demystifying Vias in High-Speed PCB Design Demystifying Vias in High-Speed PCB Design Keysight HSD Seminar Mastering SI & PI Design db(s21) E H What is Via? Vertical Interconnect Access (VIA) An electrical connection between layers to pass a signal

More information

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER World s First LPDDR3 Enabling for Mobile Application Processors System Contents Introduction Problem Statements at Early mobile platform Root-cause, Enablers

More information

EE273 Lecture 16 Wrap Up and Project Discussion March 12, 2001

EE273 Lecture 16 Wrap Up and Project Discussion March 12, 2001 EE273 Lecture 16 Wrap Up and Project Discussion March 12, 2001 William J. Dally Computer Systems Laboratory Stanford University billd@csl.stanford.edu 1 Logistics Final Exam Friday 3/23, 8:30AM to 10:30AM

More information

Aries Kapton CSP socket

Aries Kapton CSP socket Aries Kapton CSP socket Measurement and Model Results prepared by Gert Hohenwarter 5/19/04 1 Table of Contents Table of Contents... 2 OBJECTIVE... 3 METHODOLOGY... 3 Test procedures... 4 Setup... 4 MEASUREMENTS...

More information

Ensuring Signal and Power Integrity for High-Speed Digital Systems

Ensuring Signal and Power Integrity for High-Speed Digital Systems Ensuring Signal and Power Integrity for High-Speed Digital Systems An EMC Perspective Christian Schuster Institut für Theoretische Elektrotechnik Technische Universität Hamburg-Harburg (TUHH) Invited Presentation

More information

Intro. to PDN Planning PCB Stackup Technology Series

Intro. to PDN Planning PCB Stackup Technology Series Introduction to Power Distribution Network (PDN) Planning Bill Hargin In-Circuit Design b.hargin@icd.com.au 425-301-4425 Intro. to PDN Planning 1. Intro/Overview 2. Bypass/Decoupling Strategy 3. Plane

More information

Impedance Matching: Terminations

Impedance Matching: Terminations by Barry Olney IN-CIRCUIT DESIGN PTY LTD AUSTRALIA column BEYOND DESIGN Impedance Matching: Terminations The impedance of the trace is extremely important, as any mismatch along the transmission path will

More information

CACTI-IO Technical Report

CACTI-IO Technical Report CACTI-IO Technical Report Norman P. Jouppi, Andrew B. Kahng, Naveen Muralimanohar, Vaishnav Srinivas HP Laboratories HPL-2013-79 Keyword(s): IO; Interconnect; SERDES; PHY; Memory bus; DDR; LPDDR Abstract:

More information

System Co-design and optimization for high performance and low power SoC s

System Co-design and optimization for high performance and low power SoC s System Co-design and optimization for high performance and low power SoC s Siva S Kothamasu, Texas Instruments Inc, Dallas Snehamay Sinha, Texas Instruments Inc, Dallas Amit Brahme, Texas Instruments India

More information

TITLE. Image. Topic: Topic: Hee-Soo o LEE, Keysight Technologies Cindy Cui, Keysight Technologies

TITLE. Image. Topic: Topic: Hee-Soo o LEE, Keysight Technologies Cindy Cui, Keysight Technologies TITLE Topic: Accurate o Nam elementum Statistical-Based commodo mattis. Pellentesque DDR4 Margin Estimation using malesuada SSN blandit Induced euismod. Jitter Model Topic: Hee-Soo o LEE, Keysight Technologies

More information

Matched Length Matched Delay

Matched Length Matched Delay by Barry Olney column BEYOND DESIGN Matched Delay In previous columns, I have discussed matched length routing and how matched length does not necessarily mean matched delay. But, all design rules, specified

More information

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: March 18, 2005

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: March 18, 2005 RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications Revision Date: March 18, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in conjunction

More information

Lecture/s 10+11 Interconnects SLIDE 1 ENEE 359a Digital Electronics Interconnects Prof. blj@eng.umd.edu Credit where credit is due: Slides contain original artwork ( Jacob 2004) as well as material taken

More information

Analysis of Laddering Wave in Double Layer Serpentine Delay Line

Analysis of Laddering Wave in Double Layer Serpentine Delay Line International Journal of Applied Science and Engineering 2008. 6, 1: 47-52 Analysis of Laddering Wave in Double Layer Serpentine Delay Line Fang-Lin Chao * Chaoyang University of Technology Taichung, Taiwan

More information

Aries QFP microstrip socket

Aries QFP microstrip socket Aries QFP microstrip socket Measurement and Model Results prepared by Gert Hohenwarter 2/18/05 1 Table of Contents Table of Contents... 2 OBJECTIVE... 3 METHODOLOGY... 3 Test procedures... 4 Setup... 4

More information

Chapter 12 Digital Circuit Radiation. Electromagnetic Compatibility Engineering. by Henry W. Ott

Chapter 12 Digital Circuit Radiation. Electromagnetic Compatibility Engineering. by Henry W. Ott Chapter 12 Digital Circuit Radiation Electromagnetic Compatibility Engineering by Henry W. Ott Forward Emission control should be treated as a design problem from the start, it should receive the necessary

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

08-027r2 Toward SSC Modulation Specs and Link Budget

08-027r2 Toward SSC Modulation Specs and Link Budget 08-027r2 Toward SSC Modulation Specs and Link Budget (Spreading the Pain) Guillaume Fortin, Rick Hernandez & Mathieu Gagnon PMC-Sierra 1 Overview The JTF as a model of CDR performance Using the JTF to

More information

QUADSPLITTER AND IN-LINE QUADSPLITTER

QUADSPLITTER AND IN-LINE QUADSPLITTER QUADSPLITTER AND IN-LINE QUADSPLITTER technical characteristics specifications temperature rating: -55 c to + 5 c corrosion: MIL-STD-0 Method 0, Test Condition B shock: MIL-STD-0 Method, Test Condition

More information

Design Considerations for High-Speed RS-485 Data Links

Design Considerations for High-Speed RS-485 Data Links Design Considerations for High-Speed RS-485 Data Links Introduction The trend in high-speed data networks continues to push for higher data rates over longer transmission distances, and under ever-harsher

More information

Controlled Impedance Test

Controlled Impedance Test Controlled Impedance Test by MARTYN GAUDION The increasing requirement for controlled impedance PCBs is well documented. As more designs require fast data rates, and shrinking dies on new silicon mean

More information

Measuring Hot TDR and Eye Diagrams with an Vector Network Analyzer?

Measuring Hot TDR and Eye Diagrams with an Vector Network Analyzer? Measuring Hot TDR and Eye Diagrams with an Vector Network Analyzer? Gustaaf Sutorius Application Engineer Agilent Technologies gustaaf_sutorius@agilent.com Page 1 #TDR fit in Typical Digital Development

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

Validation Report Comparison of Eye Patterns Generated By Synopsys HSPICE and the Agilent PLTS

Validation Report Comparison of Eye Patterns Generated By Synopsys HSPICE and the Agilent PLTS Comparison of Eye Patterns Generated By Synopsys HSPICE and the Agilent PLTS Using: Final Inch Test/Eval Kit, Differential Pair - No Grounds Configuration, QTE-DP/QSE-DP, 5mm Stack Height (P/N FIK-QxE-04-01)

More information

Impedance-Controlled Routing. Contents

Impedance-Controlled Routing. Contents Impedance-Controlled Routing Contents Do I Need Impedance Controlled Routing? How do I Control the Impedances? Impedance Matching the Components What Determines the Routing Impedance? Calculating the Routing

More information

Phil Lehwalder ECE526 Summer 2011 Dr. Chiang

Phil Lehwalder ECE526 Summer 2011 Dr. Chiang Phil Lehwalder ECE526 Summer 2011 Dr. Chiang PLL (Phase Lock Loop) Dynamic system that produces a clock in response to the frequency and phase of an input clock by varying frequency of an internal oscillator.

More information

PI3HDMIxxx 4-Layer PCB Layout Guideline for HDMI Products

PI3HDMIxxx 4-Layer PCB Layout Guideline for HDMI Products PI3HDMIxxx 4-Layer PCB Layout Guideline for HDMI Products Introduction The differential trace impedance of HDMI is specified at 100Ω±15% in Test ID 8-8 in HDMI Compliance Test Specification Rev.1.2a and

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

Integrating 10G Serial onto a Large Digital CMOS ASSP: Taking 10G from Lab to Production

Integrating 10G Serial onto a Large Digital CMOS ASSP: Taking 10G from Lab to Production DesignCon 2004 Integrating 10G Serial onto a Large Digital CMOS ASSP: Taking 10G from Lab to Production Edward Priest, Cortina Systems Mario Moy, Cortina Systems Robert Badal, Cortina Systems George Hare,

More information

COMMUNICATIONS, DATA, CONSUMER DIVISION. Mezzanine High-Speed High-Density Connectors GIG-ARRAY and MEG-ARRAY Electrical Performance Data

COMMUNICATIONS, DATA, CONSUMER DIVISION. Mezzanine High-Speed High-Density Connectors GIG-ARRAY and MEG-ARRAY Electrical Performance Data COMMUNICATIONS, DATA, CONSUMER DIVISION Mezzanine High-Speed High-Deity Connectors GIG-ARRAY and MEG-ARRAY Electrical Performance Data FCI: SETTING THE STANDARD FOR CONNECTORS With operatio in 30 countries,

More information

Absence of Insertion Loss Anti-Resonance In Shielded Pairs Having High Skew

Absence of Insertion Loss Anti-Resonance In Shielded Pairs Having High Skew Absence of Insertion Loss Anti-Resonance In Shielded Pairs Having High Greg Vaupotic Principal Engineer Background Allegedly, within-pair skew causes resonances (actually anti-resonances) to be present

More information

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Assistant Professor, E Mail: manoj.jvwu@gmail.com Department of Electronics and Communication Engineering Baldev Ram Mirdha Institute

More information