I Main coupling Sub- coupling

Size: px
Start display at page:

Download "I Main coupling Sub- coupling"

Transcription

1 High-speed, high-bandwidth DRAM memory bus with Crosstalk Transfer Logic (XTL) interface Hideki Osaka Toyohiko Komatsu Hitachi Ltd., Hitachi Ltd., Kanagawa, Japan Kanagawa, Japan hitachi. co.jp hitachi. cojp Abstract Crosstalk Transfer Logic (XTL) is a digital-signal interface that uses directional couplers to form parallel lines within a circuit board. An advantage of the XTL is that it provides a multi-drop and high-speed system that is a one-to-many connection that can be used as a memory bus. To evaluate the signal integrip of an XTL to be applied to a DRAM bus, a test chip and boards were developed. We describe the design of the bus and report the results of its evaluation in this paper. The test chip was designed using a 0.15-pm CMOS process and it had a controllable ojfet of the hysteresis receiver. A folding coupler was applied to the motherboard to condense the wiring and to reduce the noise from adjacent signals. The motherboard had only hvo coupler layers. with a fourbyte data width, and it was capable of having eight modules mounted on the bus. The experimental results showed that the WRITE and READ operation reached speeds of ut least 500 Mbps when eight modules were mounted on the bus. 1. Introduction The speeds of recent high-speed digital systems have been limited by the Inter Symbol Interference (ISI) effect [I]. The cause of the IS1 effect is the multi-reflection that occurs at impedance mismatch points in a bus line. The multi-reflection noise from each stub line on the memory module is not small, even if both ends of the bus and every entrance of the stub lines are terminated by a series resistor. This interface is called a Stub Series Terminated Logic (SSTL) and it is widely used as a double-data rate (DDR) SDRAM interface. In the SSTL case, the impedance mismatch or the coefficient of reflection at each entrance of a stub on a mainline is almost 25% and the multi-reflection between the stub lincs leads to the ISI. Crosstalk Transfer Logic (XTL) is an inter-chip interconnect technology that uses crosstalk generated within a printed circuit board (PCB) as a signal transmitter 121. Susumu Hatano Elpida Memoyy Inc., Kanagawa, Japan hatanosusumu@elpida.com I Controller niilcp (a) Topology of XTL Takeshi Wada Hitachi Ltd., Tokyo, Japan wadatakeshi@ic.hitachi.coljp mainline m I Main coupling Sub- coupling line line 1 2 A I Power plane Signal layer Ground plane Driver pulse Coupling pulse (b) Cross-sectional view of PCB; XTL coupler Figure 1. XTL configuration; (a) topology and (b) coupler on board A well-known phenomenon is that crosstalk in a digital system is generated in one line of parallel coupling lines or a directional coupler when a drive pulse is traveling on the other line. The crosstalk is also a directional pulse because only the backward end at the coupling line is generated while the forward end of the coupling line is not generated in a strip-line structure. The reason is the backward crosstalk is proportional to the sum of the coupling capacitance and coupling inductance, however, the forward crosstalk is proportional to the difference of the coupling capacitance and coupling inductance. In the strip-line structure case, the difference is theoretically zero [3,41. Figure 1 shows the typical configuration of an XTL system. One end of a mainline connected to a memory / IEEE 63

2 controller and one end of each stub lines connected to a DRAM are terminated. A driver within the controller and the DRAMS push and pull a pulse in the center of the termination voltage (Vtt). A directional coupler is formed between a main-coupling line and a stub line and the coupler appropriately transfers a polarized return to zero (RZ) signal from a non-return to zero (NE) signal driven from the driver. The RZ signal is called an XTL signal in this paper. The positive and negative XTL signals respectively correspond to the rising and falling transient parts of the NRZ pulse. The XTL signal must be demodulated at the receiver using a hysteresis fimction. A previous work [2] showed the possibility of a one giga-bit per second (Gbps) per pin data-transfer-bus made up of 16 modules on a back-plane bus based on an ECL technology. In this paper, we describe the design and report the experimental results of an evaluation of an XTL test system that will be applied to a DRAM memory bus system. This system includes a test chip fabricated using a 0.15-pm DRAM process and an eight-module mountable motherboard. 2. System Design A test chip (HS-TEG) and boards were developed to evaluate the signal integrity of the XTL. The HS-TEG was used in the DRAM process, however, it has no memory cell in and of itself Driver The XTL driver of the test chip drives a terminated single line. The length of the mainline is about eight times the coupler length. As described below, the coupler is 40- mm long and the mainline is over 320-mm long. Therefore, the edge rate of the data pulse becomes dull owing to the skin effect and the dielectric loss at the far-end coupler. To recover the pulse-edge rate, the driver contains the slewrate and impedance controllers Receiver The test-chip receiver has a hysteresis circuit (shown in Figure 2(a)). The challenge of designing the receiver was to achieve high-speed operation and have a built-in hysteresis function. In our installation, one receiver has two comparators and a RS-FF(see Figure 2(a)). The offset voltage of the comparator is controlled by a 3-bit signal (HST) and its offsets are f50, f100, and f150 mv. Each comparator outputs the result of a comparison signal and a Vref-added controllable-offset voltage (see Figure 2(b)). Each comparator detects the polarized XTL signal input to the receiver and outputs to the RS-FF. The positive XTL signal corresponding to the rising edge of the drive pulse in the mainline sets the RS-FF via the positive-offset receiver and the negative pulse corresponding to the falling edge resets the RS-FF. Therefore, the receiver output is demodulated to the NRZ signal and is equal to the drive signal. Vrcf HST RST 6 (a) Hysteresis receiver circuit (b) Controllable offset comparator Figure 2. XTL receiver (hysteresis) In other words, the XTL receiver detects changing-data not no-changing-data. Because the XTL signal is generated at only the edge of drive data, and the XTL signal comes back to the Vtt when no-change data were driven in a chain at a WRITE and a READ access or there is no datum driven during the idle cycle between a WRITE and a READ cycle in a memory. Therefore, even though noise generated by another signal, ground bounce, etc., is added to the XTL signal, the hysteresis receiver can absorb the noise during no-changing data Folding coupler In the XTL system, the directional coupler is a key component and the XTL signal level determines the hysteresis-offset voltage of the XTL receiver. As described in Ref.2, guard lines were inserted between couplers that were stacked vertically. Hence, the previous motherboard was costly because the signal line density in the motherboard was not high and many layers were needed. A folding mainline structure was adopted in the test board (Figure 3) to reduce the number of layers stacked on a board, the density of the lines, and to avoid noise from adjacent signals. The main coupling line was folded, as shown in the far end of the figure, and it was coupled to both sides of the sub-coupling lines. All lines are located on a signal layer and they are positioned between power and ground planes. A drive pulse from a controller always travels from one side to the other and the signal retums in the backward direction. The mainline connects the XTL signal to subcoupling lines 1 and 2 at the same level. The XTL signal at sub-coupling line 1 is transmitted to chip A, however, the XTL signal at sub-coupling line 2 is absorbed at the termination. The drive pulse on the backward part of the 64

3 mainline makes the XTL signal exist on both sides of the mainline, however, only the XTL signal of sub-coupling line 2 is transmitted to chip B. In this scheme, the XTL signal can be transmitted to the proper chip. What this means is that the folding mainline structure cancels the noise from adjacent signals even if the mainline and subcoupling line are adjacent to each other line by line in the same layer. I ; HalvedXTL signal ~... Output ofxtl receiver! I! (! Figure 4. Preamble added before DQ and DQS and internal reset signal of DRAM receiver Signal from controller To Rtt Figure 3. XTL coupler layout on a PCB The interval of the modules in the design is almost the same as the coupler length, however, by folding the mainline we can halve the interval and the home factor of the XTL bus is thus halved. We designed the length of the coupler to be 40 mm because the edge rate that becomes the XTL signal is saturated at 40 mm, even if the edge rate becomes as dull as 800 ps. Furthermore, another advantage of the folding main line is there is that there is not any simultaneous switching output noise generated by via holes because there is no via hole in the main line from the coritroller to the termination. This is an important aspect of the high-speed interconnection because the via-hole noise reduces the noise margin in a signal wiring which comes and goes between an upper layer and a lower layer [5]. The motherboard we designed had 8 layers, 75R characteristic impedance, and 25% of the backward crosstalk coefficient. The line width and minimum spacing were both 100 um. These technologies are used widely in PC server manufacturing Preamble of DQ / DQS During an idle cycle, the XTL signal of data (DQ) and the data strobe (DQS) comes back to VTT. The first transition pulse of DQ and the DQS following the idle cycle halves the XTL signal, because the output voltage of a driver is halved from Vtt to VohJ(Vo1). To avoid a halved XTL signal, a preamble that Low -state cycle is introduced before the DQ and DQS are driven. The RS-FF of the DRAM receiver is reset by a WRITE/READ command triggered at the preamble period (Figure 4) Evaluation system interface The controller and DRAMS signals are the XTL interface and every signal is connected as is shown in Figure 5. One HS-TEG chip has eight DQ, two DQS, and two clocks (CLWCLKB), and the die is packaged in a 54- ball chip-scale package (CSP). The HS-TEG plays both the DRAM and the controller roles. Controller (HS-TEC) $ 1 DIMMI I DlMM2 4eu svv A - CLK GEN. DQSI DQSB vemier t$ 2 -fl DQ L vemier 8- r. 1...( DIMMR 1 v 1 L~~~ Figure 5. Preamble added before DQ and DQS and internal reset signal of DRAM receiver A clock generator distributes CLK and CLKB with the same configuration as the coupler in the direction opposite to the DQiDQS, etc. The READ DQ from DRAM is captured by the DQS from the DRAM at the controller. Because the flight times of the DQ, DQS, and CLWCLKB are the same, the controller can capture in the same phase all the DQs driven by each module. This means that this system s READ-access latency is minimal, because the core clock without a re-synchronizing cycle captures the READ data. Therefore, the performance of the computer system would improve, because the frequency in the 65

4 READ access part of most computers is usually higher than that of the WRITE access. On the other hand, in the WRITE-access case, the controller drives the DQ and the DQS is subtracted from the delay to the module. The reason for this is that the directions of the CLK and the DQ/DQS are opposed and the delay difference between the CLWCLKB and the WRITE DQ is twice the traveling time to the module. A vernier delay circuit in the controller adjusts the phase delay of the WRITE DQ/DQS where the phase of the WRITE DQ/DQS equals the phase of the CLWCLKB at the DRAM. 3. Design validation We constructed the XTL signaling circuits described on a test chip fabricated using a 0.15-pm process (Figure 6). The test chip contained two XTL data ports: one was for data (DQ), which communicated with the XTL interface, and the DX was used to monitor the DQ or inputs to the DQ. We used a test board that could accommodate the mounting of eight modules to a bus (Figure 7). We used a surface-mount 240-ball socket device that could mount two modules. These surface-mount sockets Drovided the density reduction of the line under the socket. We measured the properties of the coupler in the test board and the properties of the receiver to evaluate the signal integrity of the XTL interface Coupler properties The impedance of the main lines was measured using the Time Domain Reflectometry (TDR) method when all modules were mounted, four modules mounted, and only one module mounted (Figure 8). The TDR dnve puke, which was applied at a via-hole near the ball of the HS- TEG, had about a 40-ps transient time generated by a digital TDR oscilloscope. The mainline was terminated at the far end by a 75-ohm resistor and it was 5 17-mm long. The stub line in the module was 14.3-mm long. Variations of impedance of the mainline were measured within 2 6 ohm when all modules were mounted vs. when only one was mounted. For this reason, the reflection in the mainline was very small and it could keep any IS1 effect minimal. The backward crosstalk coefficient (Kb) measured for a directional coupler was 24%, almost the same as the designed value. 025 p Figure 6. DIE photo of HS-TEG chip 1 HS:TEG 0. I ipacitive refl ctbn TIME [ns] Figure 8. TDR waveform; drive pulse applied to the ball of the HS-TEG of the mainline; number of modules mounted was Waveforms of XTL Figure 7. Test board; a mother board and mounted 8 modules;all modules mounted 4 HS-TEG chips. The drive pulse and the XTL signal were measured (Figure 9). The falling time (20-80%) of the drive pulses at the chip and at the termination were 18 1 ps and 947 ps, respectively. The amplitude of the XTL signals was equal to or larger than 197 mv. It was enough voltage to demodulate the XTL signal in the receiver. The causes of the dulling of the drive pulse were the skin effect, the dielectric loss of the mainline, and the 66

5 impedance mismatch of the couplers. r (b) Tcnnination point Figure 9. Drive pulse of mainline at the chip (a) and the termination (b), and XTL signal at I*' (c), 4'h (d), and 8'h coupler (e) The eye pattern of a 1-bit XTL signal at the 1'' (left), 4Ih (center) and Sth (right) modules were measured at 400, 600 and 800 Mbps (Figure IO). All waveforms were measured at the HS-TEG on the module. Because we wanted to leam the limitations of the coupler, the pulse generator was used, and not the HS-TEG. At 800 Mbps, the eye of the XTL signal at the 1'' module and 4Ih module were opened enough in the random-data pattern, however, the one at the 8Ih module was opened only very narrowly. The reason is that the XTL signal would not become saturated at the coupler and the tail of the XTL became longer than that of the Is' or 4Ih module, because the edge rate of the drive pulse became dull. Here, I would like to emphasize the XTL signal of the 4th module was eyeopened a large enough even if 800 Mbps operation. In the WRITE mode, the 1-bit maximum frequency of the lst, 4th, and 8th module were 631, 666, and 634 Mbps, respectively, as measured with a bit-error-rate tester. The adjacent noise from the controller chip caused the maximum frequency of the 8th module to be degraded to 523 Mbps, though the maximum frequency of the 1st and 4th modules were the almost same. It appears that adjacent noise in the 8th module would make the signal decrease because the signal tail of the 8th module was longer than that of the 1st and the 4th modules and the noise from adjacent signals was superimposed on the signal. This result means that the improvement of the edge rate of the drive pulse in the mainline led to the increase of the maximum frequency at the 8th module. The 1-bit eye pattem and the maximum frequency of the READ data were also measured. The eye-patterns at each frequency were almost same as those shown in Figure 10. When the adjacent signals were active, the maximum frequency of the 1st and 8th module was 540 and 525 Mbps, respectively. These frequencies were almost the same as those of the WRITE data. The hysteresis offset of the receiver was set at f 45 mv in these measurements and the f 100 mv offset did not cause the maximum frequency to change much. 4. Conclusion We described an XTL bus system design and reported the results of an evaluation to determine the signal integrity of the XTL. A test chip was designed, using a 0.15-pm CMOS process that had z controllable offset of the hysteresis receiver. The test motherboard had only two coupler layers and a folding coupler was applied to the motherboard in order to condense the wiring and reduce the noise from adjacent signals. The experiment results showed that a 500 Mbps WRITE and READ operation worked well when eight modules were mounted at the same time on a bus. References tl 1,) sw mps 3t ktll mudude Figure IO. E e pattern of the 1" (left), 4'h (center), and 8 coupler (right); random pulse was applied by a pulse generator (HP 8133A) The performance of the XTL interface was measured at the typical condition that the core and DQ voltages were typically 2.5 and 1.8 V, respectively, at room temperature. [I] K. Yam et al., "The Limi/ of Electrical Signaling", Hot Interconnect V [2] H. Osaka, et al., "I GT/s Back Plane Bus (XTL: Crosstalk Transfer Logic) using Crosstalk Mechanism", Hot Interconnecr V-1997, pp, [3] Clayton R. Paul, "Introdirction to Electromagnetic Computibili@", Wiley & Sons, 1992, pp [4] A Feller, et al., "Crosstalk and reflections in high-speed digital systems", Proc. Fall Joint Compter CUI$ 1965, pp [5] St. Rosser et al., "Measurement and Simulation of Simultaneous Switching Noise in the Multi-Reference Plane Package", 1996 Proc. ojectc, pp

6

DDR4 memory interface: Solving PCB design challenges

DDR4 memory interface: Solving PCB design challenges DDR4 memory interface: Solving PCB design challenges Chang Fei Yee - July 23, 2014 Introduction DDR SDRAM technology has reached its 4th generation. The DDR4 SDRAM interface achieves a maximum data rate

More information

Overcoming Obstacles to Closing Timing for DDR and Beyond. John Ellis Sr. Staff R&D Engineer Synopsys, Inc.

Overcoming Obstacles to Closing Timing for DDR and Beyond. John Ellis Sr. Staff R&D Engineer Synopsys, Inc. Overcoming Obstacles to Closing Timing for DDR3-1600 and Beyond John Ellis Sr. Staff R&D Engineer Synopsys, Inc. Agenda Timing budgets 1600 2133Mbps? Static vs. Dynamic Uncertainty Sources Benefits of

More information

The data rates of today s highspeed

The data rates of today s highspeed HIGH PERFORMANCE Measure specific parameters of an IEEE 1394 interface with Time Domain Reflectometry. Michael J. Resso, Hewlett-Packard and Michael Lee, Zayante Evaluating Signal Integrity of IEEE 1394

More information

Modeling System Signal Integrity Uncertainty Considerations

Modeling System Signal Integrity Uncertainty Considerations white paper Intel FPGA Modeling System Signal Integrity Uncertainty Considerations Authors Ravindra Gali High-Speed I/O Applications Engineering, Intel Corporation Zhi Wong High-Speed I/O Applications

More information

Effective Routing of Multiple Loads

Effective Routing of Multiple Loads feature column BEYOND DESIGN Effective Routing of Multiple Loads by Barry Olney In a previous Beyond Design, Impedance Matching: Terminations, I discussed various termination strategies and concluded that

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug JEDEX 2003 Memory Futures (Track 2) High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug Brock J. LaMeres Agilent Technologies Abstract Digital systems are turning out

More information

Digital Systems Power, Speed and Packages II CMPE 650

Digital Systems Power, Speed and Packages II CMPE 650 Speed VLSI focuses on propagation delay, in contrast to digital systems design which focuses on switching time: A B A B rise time propagation delay Faster switching times introduce problems independent

More information

Ultra-high-speed Interconnect Technology for Processor Communication

Ultra-high-speed Interconnect Technology for Processor Communication Ultra-high-speed Interconnect Technology for Processor Communication Yoshiyasu Doi Samir Parikh Yuki Ogata Yoichi Koyanagi In order to improve the performance of storage systems and servers that make up

More information

SINCE the performance of personal computers (PCs) has

SINCE the performance of personal computers (PCs) has 334 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 5, MAY 2010 Multi-Slot Main Memory System for Post DDR3 Jaejun Lee, Sungho Lee, and Sangwook Nam, Member, IEEE Abstract This

More information

Impedance Matching: Terminations

Impedance Matching: Terminations by Barry Olney IN-CIRCUIT DESIGN PTY LTD AUSTRALIA column BEYOND DESIGN Impedance Matching: Terminations The impedance of the trace is extremely important, as any mismatch along the transmission path will

More information

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis

Microcontroller Systems. ELET 3232 Topic 13: Load Analysis Microcontroller Systems ELET 3232 Topic 13: Load Analysis 1 Objective To understand hardware constraints on embedded systems Define: Noise Margins Load Currents and Fanout Capacitive Loads Transmission

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

Using IBIS Models for Timing Analysis

Using IBIS Models for Timing Analysis Application Report SPRA839A - April 2003 Using IBIS Models for Timing Analysis ABSTRACT C6000 Hardware Applications Today s high-speed interfaces require strict timings and accurate system design. To achieve

More information

TITLE. Image. Topic: Topic: Hee-Soo o LEE, Keysight Technologies Cindy Cui, Keysight Technologies

TITLE. Image. Topic: Topic: Hee-Soo o LEE, Keysight Technologies Cindy Cui, Keysight Technologies TITLE Topic: Accurate o Nam elementum Statistical-Based commodo mattis. Pellentesque DDR4 Margin Estimation using malesuada SSN blandit Induced euismod. Jitter Model Topic: Hee-Soo o LEE, Keysight Technologies

More information

Signal/Power Integrity Analysis of High-Speed Memory Module with Meshed Reference Plane 1

Signal/Power Integrity Analysis of High-Speed Memory Module with Meshed Reference Plane 1 , pp.119-128 http//dx.doi.org/10.14257/ijca.2018.11.7.10 Signal/Power Integrity Analysis of High-Speed Memory Module with Meshed Reference Plane 1 Moonjung Kim Institute of IT Convergence Technology, Dept.

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 9: Noise Sources Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 5 Report and Prelab 6 due Apr. 3 Stateye

More information

ULTRASCALE DDR4 DE-EMPHASIS AND CTLE FEATURE OPTIMIZATION WITH STATISTICAL ENGINE FOR BER SPECIFICATION

ULTRASCALE DDR4 DE-EMPHASIS AND CTLE FEATURE OPTIMIZATION WITH STATISTICAL ENGINE FOR BER SPECIFICATION ULTRASCALE DDR4 DE-EMPHASIS AND CTLE FEATURE OPTIMIZATION WITH STATISTICAL ENGINE FOR BER SPECIFICATION Penglin Niu, penglin@xilinx.com Fangyi Rao, fangyi_rao@keysight.com Juan Wang, juanw@xilinx.com Gary

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

DRAM System Signaling and Timing

DRAM System Signaling and Timing CHAPTER 9 DRAM System Signaling and Timing In any electronic system, multiple devices are connected together, and signals are sent from one point in the system to another point in the system for the devices

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

Text Book: Simon Haykin & Michael Moher,

Text Book: Simon Haykin & Michael Moher, Qassim University College of Engineering Electrical Engineering Department Electronics and Communications Course: EE322 Digital Communications Prerequisite: EE320 Text Book: Simon Haykin & Michael Moher,

More information

ECE 497 JS Lecture - 22 Timing & Signaling

ECE 497 JS Lecture - 22 Timing & Signaling ECE 497 JS Lecture - 22 Timing & Signaling Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements - Signaling Techniques (4/27) - Signaling

More information

Phil Lehwalder ECE526 Summer 2011 Dr. Chiang

Phil Lehwalder ECE526 Summer 2011 Dr. Chiang Phil Lehwalder ECE526 Summer 2011 Dr. Chiang PLL (Phase Lock Loop) Dynamic system that produces a clock in response to the frequency and phase of an input clock by varying frequency of an internal oscillator.

More information

Effect of Aging on Power Integrity of Digital Integrated Circuits

Effect of Aging on Power Integrity of Digital Integrated Circuits Effect of Aging on Power Integrity of Digital Integrated Circuits A. Boyer, S. Ben Dhia Alexandre.boyer@laas.fr Sonia.bendhia@laas.fr 1 May 14 th, 2013 Introduction and context Long time operation Harsh

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

VLSI is scaling faster than number of interface pins

VLSI is scaling faster than number of interface pins High Speed Digital Signals Why Study High Speed Digital Signals Speeds of processors and signaling Doubled with last few years Already at 1-3 GHz microprocessors Early stages of terahertz Higher speeds

More information

Asian IBIS Summit, Tokyo, Japan

Asian IBIS Summit, Tokyo, Japan Asian IBIS Summit, Tokyo, Japan Satoshi Nakamizo / 中溝哲士 12 Nov. 2018 Keysight Technologies Japan K.K. T h e d a t a e y e i s c l o s i n g 1600 3200 6400 Memory channel BW limited Rj improving slowly

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

High Speed Digital Design & Verification Seminar. Measurement fundamentals

High Speed Digital Design & Verification Seminar. Measurement fundamentals High Speed Digital Design & Verification Seminar Measurement fundamentals Agenda Sources of Jitter, how to measure and why Importance of Noise Select the right probes! Capture the eye diagram Why measure

More information

LVDS Flow Through Evaluation Boards. LVDS47/48EVK Revision 1.0

LVDS Flow Through Evaluation Boards. LVDS47/48EVK Revision 1.0 LVDS Flow Through Evaluation Boards LVDS47/48EVK Revision 1.0 January 2000 6.0.0 LVDS Flow Through Evaluation Boards 6.1.0 The Flow Through LVDS Evaluation Board The Flow Through LVDS Evaluation Board

More information

TD_485 Transceiver Modules Application Guide 2017

TD_485 Transceiver Modules Application Guide 2017 TD_485 Transceiver Modules Application Guide 2017 1. RS485 basic knowledge... 2 1.1. RS485 BUS basic Characteristics... 2 1.2. RS485 Transmission Distance... 2 1.3. RS485 bus connection and termination

More information

LVDS Owner s Manual. A General Design Guide for National s Low Voltage Differential Signaling (LVDS) Products. Moving Info with LVDS

LVDS Owner s Manual. A General Design Guide for National s Low Voltage Differential Signaling (LVDS) Products. Moving Info with LVDS LVDS Owner s Manual A General Design Guide for National s Low Voltage Differential Signaling (LVDS) Products Moving Info with LVDS Revision 2.0 January 2000 LVDS Evaluation Boards Chapter 6 6.0.0 LVDS

More information

PDS Impact for DDR Low Cost Design

PDS Impact for DDR Low Cost Design PDS Impact for DDR3-1600 Low Cost Design Jack W.C. Lin Sr. AE Manager jackl@cadence.com Aug. g 13 2013 Cadence, OrCAD, Allegro, Sigrity and the Cadence logo are trademarks of Cadence Design Systems, Inc.

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Circuit Design for a 2.2 GByte/s Memory Interface

Circuit Design for a 2.2 GByte/s Memory Interface Circuit Design for a 2.2 GByte/s Memory Interface Stefanos Sidiropoulos Work done at Rambus Inc with A. Abhyankar, C. Chen, K. Chang, TJ Chin, N. Hays, J. Kim, Y. Li, G. Tsang, A. Wong, D. Stark Increasing

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

A Bottom-Up Approach to on-chip Signal Integrity

A Bottom-Up Approach to on-chip Signal Integrity A Bottom-Up Approach to on-chip Signal Integrity Andrea Acquaviva, and Alessandro Bogliolo Information Science and Technology Institute (STI) University of Urbino 6029 Urbino, Italy acquaviva@sti.uniurb.it

More information

SSTV V 13-bit to 26-bit SSTL_2 registered buffer for stacked DDR DIMM

SSTV V 13-bit to 26-bit SSTL_2 registered buffer for stacked DDR DIMM INTEGRATED CIRCUITS 2000 Dec 01 File under Integrated Circuits ICL03 2002 Feb 19 FEATURES Stub-series terminated logic for 2.5 V (SSTL_2) Optimized for stacked DDR (Double Data Rate) SDRAM applications

More information

MIL-STD-883E METHOD 3024 SIMULTANEOUS SWITCHING NOISE MEASUREMENTS FOR DIGITAL MICROELECTRONIC DEVICES

MIL-STD-883E METHOD 3024 SIMULTANEOUS SWITCHING NOISE MEASUREMENTS FOR DIGITAL MICROELECTRONIC DEVICES SIMULTANEOUS SWITCHING NOISE MEASUREMENTS FOR DIGITAL MICROELECTRONIC DEVICES 1. Purpose. This method establishes the procedure for measuring the ground bounce (and V CC bounce) noise in digital microelectronic

More information

MICTOR. High-Speed Stacking Connector

MICTOR. High-Speed Stacking Connector MICTOR High-Speed Stacking Connector Electrical Performance Report for the 0.260" (6.6-mm) Stack Height Connector.......... Connector With Typical Footprint................... Connector in a System Report

More information

High Speed Characterization Report

High Speed Characterization Report SSW-1XX-22-X-D-VS Mates with TSM-1XX-1-X-DV-X Description: Surface Mount Terminal Strip,.1 [2.54mm] Pitch, 13.59mm (.535 ) Stack Height Samtec, Inc. 25 All Rights Reserved Table of Contents Connector Overview...

More information

if the conductance is set to zero, the equation can be written as following t 2 (4)

if the conductance is set to zero, the equation can be written as following t 2 (4) 1 ECEN 720 High-Speed Links: Circuits and Systems Lab1 - Transmission Lines Objective To learn about transmission lines and time-domain reflectometer (TDR). Introduction Wires are used to transmit clocks

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Improving TDR/TDT Measurements Using Normalization Application Note

Improving TDR/TDT Measurements Using Normalization Application Note Improving TDR/TDT Measurements Using Normalization Application Note 1304-5 2 TDR/TDT and Normalization Normalization, an error-correction process, helps ensure that time domain reflectometer (TDR) and

More information

Probing Techniques for Signal Performance Measurements in High Data Rate Testing

Probing Techniques for Signal Performance Measurements in High Data Rate Testing Probing Techniques for Signal Performance Measurements in High Data Rate Testing K. Helmreich, A. Lechner Advantest Test Engineering Solutions GmbH Contents: 1 Introduction: High Data Rate Testing 2 Signal

More information

Cost-minimized Double Die DRAM Packaging for Ultra-High Performance DDR3 and DDR4 Multi-Rank Server DIMMs

Cost-minimized Double Die DRAM Packaging for Ultra-High Performance DDR3 and DDR4 Multi-Rank Server DIMMs Cost-minimized Double Die DRAM Packaging for Ultra-High Performance DDR3 and DDR4 Multi-Rank Server DIMMs Richard Crisp 1, Bill Gervasi 2, Wael Zohni 1, Bel Haba 3 1 Invensas Corp, 2902 Orchard Parkway,

More information

ECE 546 Introduction

ECE 546 Introduction ECE 546 Introduction Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 546 Jose Schutt Aine 1 Future System Needs and Functions Auto Digital

More information

Chapter 13: Comparators

Chapter 13: Comparators Chapter 13: Comparators So far, we have used op amps in their normal, linear mode, where they follow the op amp Golden Rules (no input current to either input, no voltage difference between the inputs).

More information

TECHNICAL NOTE TN DDR2 DESIGN GUIDE FOR TWO-DIMM SYSTEMS DDR2-533 MEMORY DESIGN GUIDE FOR TWO-DIMM UNBUFFERED SYSTEMS

TECHNICAL NOTE TN DDR2 DESIGN GUIDE FOR TWO-DIMM SYSTEMS DDR2-533 MEMORY DESIGN GUIDE FOR TWO-DIMM UNBUFFERED SYSTEMS TECHNICL NOTE DDR2-533 MEMORY DESIGN GUIDE FOR TWO-DIMM UNBUFFERED SYSTEMS Overview DDR2 memory busses vary depending on the intended market for the finished product. Some products must support four or

More information

DUAL STEPPER MOTOR DRIVER

DUAL STEPPER MOTOR DRIVER DUAL STEPPER MOTOR DRIVER GENERAL DESCRIPTION The is a switch-mode (chopper), constant-current driver with two channels: one for each winding of a two-phase stepper motor. is equipped with a Disable input

More information

The Practical Limits of RS-485

The Practical Limits of RS-485 The Practical Limits of RS-485 INTRODUCTlON This application note discusses the EIA-485 standard for differential multipoint data transmission and its practical limits. It is commonly called RS-485, however

More information

Transmission Line Characteristics

Transmission Line Characteristics Transmission Line Characteristics INTRODUCTION Digital systems generally require the transmission of digital signals to and from other elements of the system. The component wavelengths of the digital signals

More information

Chapter 12 Digital Circuit Radiation. Electromagnetic Compatibility Engineering. by Henry W. Ott

Chapter 12 Digital Circuit Radiation. Electromagnetic Compatibility Engineering. by Henry W. Ott Chapter 12 Digital Circuit Radiation Electromagnetic Compatibility Engineering by Henry W. Ott Forward Emission control should be treated as a design problem from the start, it should receive the necessary

More information

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014 Considerations in High-Speed High Performance Die-Package-Board Co-Design Jenny Jiang Altera Packaging Department October 2014 Why Co-Design? Complex Multi-Layer BGA Package Horizontal and vertical design

More information

Transmission Line Drivers and Receivers for TIA/EIA Standards RS-422 and RS-423

Transmission Line Drivers and Receivers for TIA/EIA Standards RS-422 and RS-423 Transmission Line Drivers and Receivers for TIA/EIA Standards RS-422 and RS-423 Introduction With the advent of the microprocessor, logic designs have become both sophisticated and modular in concept.

More information

Implementation of Power Transmission Lines to Field Programmable Gate Array ICs for Managing Signal and Power Integrity

Implementation of Power Transmission Lines to Field Programmable Gate Array ICs for Managing Signal and Power Integrity Implementation of Power Transmission Lines to Field Programmable Gate Array ICs for Managing Signal and Power Integrity Sang Kyu Kim, Satyanarayana Telikepalli, Sung Joo Park, Madhavan Swaminathan and

More information

A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking

A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking UDC 621.3.049.771.14:681.3.01 A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking VKohtaroh Gotoh VHideki Takauchi VHirotaka Tamura (Manuscript

More information

Course Introduction. Content: 19 pages 3 questions. Learning Time: 30 minutes

Course Introduction. Content: 19 pages 3 questions. Learning Time: 30 minutes Course Introduction Purpose: This course discusses techniques that can be applied to reduce problems in embedded control systems caused by electromagnetic noise Objectives: Gain a basic knowledge about

More information

行動裝置高速數位介面及儲存技術. 克服 MIPI PHY UniPro UniPort-M UFS 與 (LP)DDR4 測試挑戰 Master the latest MIPI PHY UniPro UniPort-M UFS and (LP)DDR4 Test Challenges

行動裝置高速數位介面及儲存技術. 克服 MIPI PHY UniPro UniPort-M UFS 與 (LP)DDR4 測試挑戰 Master the latest MIPI PHY UniPro UniPort-M UFS and (LP)DDR4 Test Challenges 行動裝置高速數位介面及儲存技術 克服 MIPI PHY UniPro UniPort-M UFS 與 (LP)DDR4 測試挑戰 Master the latest MIPI PHY UniPro UniPort-M UFS and (LP)DDR4 Test Challenges Dec. 2016 Jacky Yu 1 Agenda 2 MIPI 實體層測試 C-PHY D-PHY M-PHY

More information

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines December 2007, ver. 1.0 Introduction Application Note 508 Low-cost FPGAs designed on 90-nm and 65-nm process technologies are made to support

More information

06-496r3 SAS-2 Electrical Specification Proposal. Kevin Witt SAS-2 Phy Working Group 1/16/07

06-496r3 SAS-2 Electrical Specification Proposal. Kevin Witt SAS-2 Phy Working Group 1/16/07 06-496r3 SAS-2 Electrical Specification Proposal Kevin Witt SAS-2 Phy Working Group 1/16/07 Overview Motivation Multiple SAS-2 Test Chips Have Been Built and Tested, SAS-2 Product Designs have Started

More information

Using Signaling Rate and Transfer Rate

Using Signaling Rate and Transfer Rate Application Report SLLA098A - February 2005 Using Signaling Rate and Transfer Rate Kevin Gingerich Advanced-Analog Products/High-Performance Linear ABSTRACT This document defines data signaling rate and

More information

Measurement of Laddering Wave in Lossy Serpentine Delay Line

Measurement of Laddering Wave in Lossy Serpentine Delay Line International Journal of Applied Science and Engineering 2006.4, 3: 291-295 Measurement of Laddering Wave in Lossy Serpentine Delay Line Fang-Lin Chao * Department of industrial Design, Chaoyang University

More information

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER World s First LPDDR3 Enabling for Mobile Application Processors System Contents Introduction Problem Statements at Early mobile platform Root-cause, Enablers

More information

To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence.

To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab2- Channel Models Objective To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence. Introduction

More information

Signal Technologies 1

Signal Technologies 1 Signal Technologies 1 Gunning Transceiver Logic (GTL) - evolution Evolved from BTL, the backplane transceiver logic, which in turn evolved from ECL (emitter-coupled logic) Setup of an open collector bus

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Outline

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Outline EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture #7 Components Termination, Transmitters & Receivers Jared Zerbe 2/10/04 Outline General issues Termination

More information

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors Design for MOSIS Educational Program (Research) Transmission-Line-Based, Shared-Media On-Chip Interconnects for Multi-Core Processors Prepared by: Professor Hui Wu, Jianyun Hu, Berkehan Ciftcioglu, Jie

More information

AN-742 APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA Tel: 781/ Fax: 781/

AN-742 APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA Tel: 781/ Fax: 781/ APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA 02062-9106 Tel: 781/329-4700 Fax: 781/461-3113 www.analog.com Frequency Domain Response of Switched-Capacitor ADCs by Rob Reeder INTRODUCTION

More information

Measuring PCB, Cable and Interconnect Impedance, Dielectric Constants, Velocity Factor, and Lengths

Measuring PCB, Cable and Interconnect Impedance, Dielectric Constants, Velocity Factor, and Lengths Measuring PCB, Cable and Interconnect Impedance, Dielectric Constants, Velocity Factor, and Lengths Controlled impedance printed circuit boards (PCBs) often include a measurement coupon, which typically

More information

Transmission Line Drivers and Receivers for TIA EIA Standards RS-422 and RS-423

Transmission Line Drivers and Receivers for TIA EIA Standards RS-422 and RS-423 Transmission Line Drivers and Receivers for TIA EIA Standards RS-422 and RS-423 National Semiconductor Application Note 214 John Abbott John Goldie August 1993 Legend R t e Optional cable termination resistance

More information

A 0.95mW/1.0Gbps Spiral-Inductor Based Wireless Chip-Interconnect with Asynchronous Communication Scheme

A 0.95mW/1.0Gbps Spiral-Inductor Based Wireless Chip-Interconnect with Asynchronous Communication Scheme A 0.95mW/1.0Gbps Spiral-Inductor Based Wireless Chip-Interconnect with Asynchronous Communication Scheme Mamoru Sasaki and Atsushi Iwata Graduate School, Hiroshima University Kagamiyama 1-4-1, Higashihiroshima-shi,

More information

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck

Synchronous Mirror Delays. ECG 721 Memory Circuit Design Kevin Buck Synchronous Mirror Delays ECG 721 Memory Circuit Design Kevin Buck 11/25/2015 Introduction A synchronous mirror delay (SMD) is a type of clock generation circuit Unlike DLLs and PLLs an SMD is an open

More information

A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver*

A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver* A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver* March 11, 1999 Ramin Farjad-Rad Center for Integrated Systems Stanford University Stanford, CA 94305 *Funding from LSI Logic, SUN Microsystems, and Powell

More information

Appendix C. LW400-09A Digital Output Option

Appendix C. LW400-09A Digital Output Option LW400-09A Digital Output Option Introduction The LW400-09A Digital Output option provides 8-bit TTL and ECL, digital outputs corresponding to the current value of the channel 1 analog output. The latched

More information

Optimizing On Die Decap in a System at Early Stage of Design Cycle

Optimizing On Die Decap in a System at Early Stage of Design Cycle Optimizing On Die Decap in a System at Early Stage of Design Cycle Naresh Dhamija Pramod Parameswaran Sarika Jain Makeshwar Kothandaraman Praveen Soora Disclaimer: The scope of approach presented is limited

More information

Advanced Transmission Lines. Transmission Line 1

Advanced Transmission Lines. Transmission Line 1 Advanced Transmission Lines Transmission Line 1 Transmission Line 2 1. Transmission Line Theory :series resistance per unit length in. :series inductance per unit length in. :shunt conductance per unit

More information

SINGLE-ENDED 16x8 GBPS DATA BUS IN 90NM CMOS

SINGLE-ENDED 16x8 GBPS DATA BUS IN 90NM CMOS SINGLE-ENDED 16x8 GBPS DATA BUS IN 90NM CMOS By SAURABH MANDHANYA A thesis submitted in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE IN ELECTRICAL ENGINEERING WASHINGTON

More information

High Speed Characterization Report

High Speed Characterization Report High Speed Characterization Report HDR-108449-01-HHSC HDR-108449-02-HHSC HDR-108449-03-HHSC HDR-108449-04-HHSC FILE: HDR108449-01-04-HHSC.pdf DATE: 03-29-04 Table of Contents Introduction. 1 Product Description.

More information

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Duo Sheng 1a), Ching-Che Chung 2,andChen-YiLee 1 1 Department of Electronics Engineering & Institute of

More information

MP W Mono Class D Low-EMI High- Efficiency Audio Amplifier. Application Note

MP W Mono Class D Low-EMI High- Efficiency Audio Amplifier. Application Note The Future of Analog IC Technology AN29 MP172-2.7W Mono Class D Low-EMI High-Efficiency Audio Amplifier MP172 2.7W Mono Class D Low-EMI High- Efficiency Audio Amplifier Application Note Prepared by Jinyan

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

Quad 12-Bit Digital-to-Analog Converter (Serial Interface)

Quad 12-Bit Digital-to-Analog Converter (Serial Interface) Quad 1-Bit Digital-to-Analog Converter (Serial Interface) FEATURES COMPLETE QUAD DAC INCLUDES INTERNAL REFERENCES AND OUTPUT AMPLIFIERS GUARANTEED SPECIFICATIONS OVER TEMPERATURE GUARANTEED MONOTONIC OVER

More information

10 Mb/s Single Twisted Pair Ethernet Implementation Thoughts Proof of Concept Steffen Graber Pepperl+Fuchs

10 Mb/s Single Twisted Pair Ethernet Implementation Thoughts Proof of Concept Steffen Graber Pepperl+Fuchs 10 Mb/s Single Twisted Pair Ethernet Implementation Thoughts Proof of Concept Steffen Graber Pepperl+Fuchs IEEE802.3 10 Mb/s Single Twisted Pair Ethernet Study Group 9/8/2016 1 Overview Signal Coding Analog

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

EA/MZ Modulator Driver PMCC_EAMD12G

EA/MZ Modulator Driver PMCC_EAMD12G EA/MZ Modulator Driver PMCC_EAMD12G IP MACRO Datasheet Rev 1.0 Process: Jazz Semiconductor SBC18HX DESCRIPTIO The PMCC_EAMD12G is designed to directly drive the 50Ω inputs of EA or MZ Modulators or EML

More information

DS1868B Dual Digital Potentiometer

DS1868B Dual Digital Potentiometer www. maximintegrated.com FEATURES Two digitally controlled, 256-position potentiometers Serial port provides means for setting and reading both potentiometers Resistors can be connected in series to provide

More information

Technology in Balance

Technology in Balance Technology in Balance A G1 G2 B Basic Structure Comparison Regular capacitors have two plates or electrodes surrounded by a dielectric material. There is capacitance between the two conductive plates within

More information

Increasing Performance Requirements and Tightening Cost Constraints

Increasing Performance Requirements and Tightening Cost Constraints Maxim > Design Support > Technical Documents > Application Notes > Power-Supply Circuits > APP 3767 Keywords: Intel, AMD, CPU, current balancing, voltage positioning APPLICATION NOTE 3767 Meeting the Challenges

More information

Lecture 15: Transmitter and Receiver Design

Lecture 15: Transmitter and Receiver Design Lecture 15: Transmitter and Receiver Design Computer Systems Laboratory Stanford University horowitz@stanford.edu Copyright 2000 by Mark Horowitz EE371 Lecture 15-1 Horowitz Outline System Architectures

More information

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme Young-Chan Jang a) School of Electronic Engineering, Kumoh National Institute of Technology, 1, Yangho-dong,

More information

High Speed Characterization Report

High Speed Characterization Report QTH-030-01-L-D-A Mates with QSH-030-01-L-D-A Description: High Speed Ground Plane Header Board-to-Board, 0.5mm (.0197 ) Pitch, 5mm (.1969 ) Stack Height Samtec, Inc. 2005 All Rights Reserved Table of Contents

More information

Subject: Proposal to replace the TBDs for Fast 160 in SPI-4 and to winnow the options

Subject: Proposal to replace the TBDs for Fast 160 in SPI-4 and to winnow the options T10/00-389r0 Seagate Technology 10323 West Reno (West Dock) Oklahoma City, OK 73127-9705 P.O. Box 12313 Oklahoma City, OK 73157-2313 Tel: 405-324-3070 Fax: 405-324-3794 gene_milligan@notes.seagate.com

More information

Where Did My Signal Go?

Where Did My Signal Go? Where Did My Signal Go? A Discussion of Signal Loss Between the ATE and UUT Tushar Gohel Mil/Aero STG Teradyne, Inc. North Reading, MA, USA Tushar.gohel@teradyne.com Abstract Automatic Test Equipment (ATE)

More information

MP2313 High Efficiency 1A, 24V, 2MHz Synchronous Step Down Converter

MP2313 High Efficiency 1A, 24V, 2MHz Synchronous Step Down Converter The Future of Analog IC Technology MP2313 High Efficiency 1A, 24V, 2MHz Synchronous Step Down Converter DESCRIPTION The MP2313 is a high frequency synchronous rectified step-down switch mode converter

More information

A Technical Discussion of TDR Techniques, S-parameters, RF Sockets, and Probing Techniques for High Speed Serial Data Designs

A Technical Discussion of TDR Techniques, S-parameters, RF Sockets, and Probing Techniques for High Speed Serial Data Designs A Technical Discussion of TDR Techniques, S-parameters, RF Sockets, and Probing Techniques for High Speed Serial Data Designs Presenter: Brian Shumaker DVT Solutions, LLC, 650-793-7083 b.shumaker@comcast.net

More information