Robust I/O circuit scheme for world s first over 1.6Gbps LPDDR3

Size: px
Start display at page:

Download "Robust I/O circuit scheme for world s first over 1.6Gbps LPDDR3"

Transcription

1 DesignCon 2013 Robust I/O circuit scheme for world s first over 1.6Gbps LPDDR3 Kyoung Hoi Koo, SAMSUNG Electronics. [kiminkoo@samsung.com] Woong Hwan Ryu, SAMSUNG Electronics. Sang Min Lee, SAMSUNG Electronics. Baek Kyu Choi, SAMSUNG Electronics.

2 Abstract Since today s mobile multimedia Application Processor (AP) requires high-performance low-power signal processing, there is demand for extremely high-bandwidth memory interface for 3D game and high-quality video in large size smart phone and tablet PC. These trends lead to consideration of higher-performance DRAMs than 1066Mbps LPDDR2. Wide IO mobile DRAM [1] has been considered as candidates for over 6.4GB/s channel bandwidth. However, Wide I/O must solve issues such as low stacking yield for higher density and failure analysis modeling of system-in-package (SiP). To achieve world s first 1.6Gbps LPDDR3 interface without pseudo open drain (POD) termination for mobile AP device, following new I/O circuit schemes are required. In this paper, we investigate the speed limiters from conventional DRAM interface system and identify the speed enablers in the area of chip I/O, package, board, and LPDDR3 memory device [2] respectively through chip-to-chip SI/PI analysis to enable robust I/O signaling solutions for world s first 1.6Gbps LPDDR3 interface without POD termination. This results in world s first WQXGA display mobile AP chip using 28nm low power CMOS process and an amazing 30% reduction in power consumption versus our previous 45nm AP chip. Author s Biographies Kyoung-Hoi(Billy) Koo received B.S. and M.S. degrees in electrical engineering from Chungbuk National University, Korea in 1996 and 1998, respectively with focus on high speed I/O transceiver. In 1998, he joined SAMSUNG Electronics where he was designed and developed high-speed peripheral interfaces such as PCI-X, AGP, HSTL, SSTL, LVDS and USB2.0. From 2004 to current, he was responsible for the developing highspeed memory interface circuits for DDR2/DDR3/DDR4 and LPDDR2/LPDDR3. He holds over 10 U.S. and foreign patents, and published 6 papers and conference contributions in analog/digital mixed signal design and high-speed interface area. Dr. Woong Hwan Ryu is an Engineering Department manager at Samsung Electronics Co., Ltd. with responsibility for power/signal integrity and electrical validation enabling for AP/SOC and LSI silicon/platforms. From 2001 to 2011 Dr. Ryu was a senior Engineering Department manager at Intel Corporation where he managed the Signal/Power Integrity Engineering (SPIE) team, which is responsible for pre- and postsilicon signal/power integrity analysis for future memory technology development (MCOE) and SOC Hard IP customers/platforms. Since 2008 Dr. Ryu holds an IEEE Senior Member status. He is the co-author of a book titled "Power Integrity for I/O Interfaces: With Signal Integrity/Power Integrity Co-Design (Prentice Hall Modern Semiconductor Design Series' Sub Series: PH Signal Integrity Library, April 2010)". Dr. Ryu has authored and co-authored more than 90 technical publications in premier journals, international conferences, and Intel internal journals and conferences. Dr. Ryu serves as a reviewer for several IEEE journals and as a technical program committee

3 member for DesignCon. Dr. Ryu was a best paper award recipient in recognition of my technical contributions to DesignCon2006 and DesignCon2008. He holds a Ph.D. in Electrical Engineering from Korea Advanced Institute of Science and Technology (KAIST). Sangmin Lee leads a SoC/AP platform integrity & electrical validation at Samsung. He has been responsible for I/O platform enabling activities and design methodology development for high speed memory and serial interfaces. Before joined Samsung, He worked as a senior component design engineer at Intel Corp, where he developed tests and tools for System Validation (SV) of chipsets and processors. Baekkyu Choi received the M.S. in electrical engineering from KAIST (Korea Advanced Institute of Science and Technology) in He is working for Samsung in the design of various memory interfaces in the specialization of signal power integrity. His professional interests include full channel signal and power integrity modeling and optimum simulation techniques with various interface standards. Acknowledgements The author would like to acknowledge and give special thanks to Baek-Kyu Choi for his support in LPDDR3 memory channel modeling and simulation and also writing contained here. Also following individuals whose dedication was invaluable in enabling works: Seong-Jae Moon for carrying system test and debug with measurement, Seung- Bae Lee for FD characterization with VNA measurement, Chan-Min Jo for performing memory off-chip simulation for PI/SI analysis, Young-Hoon Kim for leading improvement of electrical performance in package, Min-Ho Seo for executing board PI/SI analysis with measurement and simulation, On-Pil Shin for leading SMDK board design and revision. Lastly, I d like to give special appreciation to Dr. Woong-Hwan Ryu who is the technical leader and advisor of electrical council task force for directing the enabling activities.

4 LPDDR3 Channel Characteristics Typical mobile channel length from AP die to DRAM die is about 10~50mm and opened to terminate for lower power consumption. Channel acts like ~ /4 resonator over 800MHz and 3D effects becomes more crucial as the data rate goes higher. /4 resonator can cause large voltage fluctuation because /4 resonator has a large Quality (Q) factor. When frequency components, which are fitted to resonance frequency, are excited in the channel, the frequency has large gains from resonator, but the other frequencies which are not fitted to resonance have smaller gains. This causes Inter-Symbol-Interference (ISI) effects for the signals [3]. Signal interference between signals like crosstalk can be amplified by channel resonance. Thus, first step of designing mobile channel without termination is checking out the channel condition to avoid channel resonance which comes from channel length and minimizing the second order effects from signal crosstalk LPDDR3 1.6Gbps Signaling Problems in first Sample High frequency RF effects including signal ringing, ISI and crosstalk are observed at first platform sample. Timing and voltage margin is too small to operate 800MHz operations. In POP case, LPDDR3 operation was limited to only 677MHz from system level LPDDR3 functional test. In SCP case, the operation was also limited to only 533MHz from the same system level LPDDR3 functional test due to various signaling problems in the channel. The LPDDR3 memory tests were executed with pattern and random data during READ/WRITE per channel and interleaving between 2 channels. One of signaling phenomenon from data (DQ) and data strobe (DQS) waveforms are higher post-amble ringing level than voltage reference (VREF) during 800MHz read/write memory transactions. After the DQ strobes send the bits, the ringing is occurred and get worse at memory read operation than write operation since weak pulldown scheme helps to suppress DQS signal ringing during write operation. The amplitude of the post-amble ringing increases in proportion to the memory operating frequency. Higher DQS ringing than VREF level can cause the malfunction of logic in the system, so the ringing level must be suppressed to less than VREF. Figure 1 is the oscilloscope capture and shows Post-amble ringing level during memory READ and it had 1.83 volt (V) peak-to-peak and that was over limit VREF to cause a logical failure at next data transfer. Figure 1: TD measurement on DQS/DQ post-amble ringing during READ operation.

5 Optimization of AP Driver s IO Capacitance (Cio) At the channel end of mobile system, only receiver is placed without any termination to reduce power consumption. Due to a receiver input capacitance at pad, actual channel is terminated by the capacitance. Capacitive termination affects two points of view with time constant and signal attenuation. As signal data rates increase, designers must take into account signal reflection while signal matching becomes more difficult at higher frequencies, due to parasitic component at the receiver end. The receiver end parasitic capacitance (Cio), including silicon devices and interconnects, introduces pf variation in the recent interfaces. The impact of the receiver end capacitance is frequency dependent signal reflection, which makes wide bandwidth signal distortion inevitable and may cause channel resonance due to impedance discontinuities at receiver and driver. In general, the I/O receiver capacitance should be minimized and silicon resistance should be increased to improve signal integrity at the receiver, as shown in Figure 2 In regard to the effective impedance at extremely high frequencies, the device impedance approximates closely to the receiver end parasitic resistance (Rio) that comes from conductive silicon substrate of IO circuitry. The reflection from the device is dependent on the difference between the transmission line characteristic impedance and the input impedance of the driver. As frequency increases, the sensitivity of the receiver's capacitance on signal integrity becomes dominant. Consequently, special attention should be invested to receiver input capacitance. A Pareto plots for timing margins of LP DDR3 data bus as a function of HVM variables, as shown in Figure 3 the charts indicate that Cio at receiver is one of most sensitive parameters for both data and address signals. Figure 2: An input impedance frequency response of a given device. Cio impact increases as frequency goes up

6 Figure 3: Pareto plots Indicate Cio is one of most sensitive SI variables for both (a) DATA signal and (B) Address signal At high speed operation, time constant causes reducing timing margin and the signal attenuation is increased due to lowered impedance. In first sample, drivers had 1.96pF input capacitance. With 50Ω channel, time constant was 96ps and that is 15.4% of Unit Interval (UI) at 800MHz operation. Impedances at 800MHz and 2.4GHz are 100Ω and 33.8Ω respectively. Especially 33.8Ω at 2.4GHz is lower than 50Ω. This causes negative reflection which degrades the signal quality. To reduce Cio, we have to know bi-directional IO (DQ, DQS) configuration and its Cio portion. As you can see from the Figure 4, bi-direction IO consists of driver, receiver and ESD protection circuitry. First we analysis the Cio portion for each component. A driver comprises of active device and passive device, and JEDEC recommends using 240Ω based driver impedance such as 30/34/40/48/60/80/120/240Ω. To make 30Ω driver impedance large active device area is needed; this leads to Cio increase. To reduce Cio and achieve 1.6Gbps interface speed, finding an optimal ratio for active device and passive device is required. PAD Driver PAD ESD Cio Receiver PAD Figure 4: Simplified bi-directional buffer schemes

7 Low Cio in high-speed memory interfaces, especially in the un-terminated channel environment is the main factor to improve signal integrity (SI), but it s not easy to reduce Cio because low driver impedance (large driver size) and high ESD level is required for mobile devices. To reduce Cio, ESD protection size and its layout pattern need to be optimized. Figure 5 (a) shows active (RX), Poly (PC) and M1~M6 layout patterns, to minimize Cio, we reduced ESD protection diode size cathode line metal (M2~M6) length; this help add extra de-caps in order to improve power integrity. Figure 5 (b) depicts M7 metal strips. We cut the M7 patterns to reduce overlay capacitance. With this approach, additional ~0.3pF Cio reduction is achieved only by optimizing the ESD protection circuitry. As a result, total AP Cio was reduced to 1.565pF from 1.96pF. Figure 5: Low Cio ESD protection layout patterns Adoption of Versatile IO Driver/POD Impedance An IO with more linear V-I characteristics improves signal quality by absorbing reflected signal waves and reducing ring-back. A linear buffer allows all reflection to see the same reflection coefficient when the wave returns to the driver. High curvature (a saturated V-I characteristics) means that the reflection coefficient is dependent of voltage; therefore, waves at slightly different voltage will generate vastly different reflections, contributing to uncertainties in flight time and skew. This uncertainty is greatly reduced with more linear buffers. Adding resistors in the output path makes the buffer more linear. A series resistor creates a voltage drop that helps keep the transistor out of the saturation region at larger pad voltages. Series resistance, as shown Figure 6, largely determines the buffer impedance. There is a drawback to this implementation. The series resistance weakens the buffer and a larger buffer is needed to obtain a given driver strength and equivalent impedance. If the driver impedance is too low over-/under-shoot at the

8 receiver end is increasing; this results in ring-back and settling time problems. Too high driver impedance limits the voltage swing at the receiver; this results in large reduction in timing/voltage margin. Therefore, buffer characteristic parameters chosen for LPDDR3 are optimized considering a balance of improved signal quality and driver strength loss. Figure 6: Linear buffer curves As shown in Figure 7 to determine active device (transistor) and passive device (resistor) portion, we sweep the Rs value and the Tr. width. As Rs increases good linearity is acquired. Our recommendation for 240Ω driver unit impedance Rs value is around 120~140Ω. An optimal Tr. size can be determined after Rs portion is fixed. The driver itself needs to be carefully designed to balance between the rise-fall mismatch. Any delay mismatch reduces data setup and hold margin. One way to reduce rise and fall time variations is to use non-minimal channel length device. R pullup, R pulldown = V(VIH AC) V(VIL AC ) I VIH AC I VIL AC (1) R mismatc h = R pulldown R pullup R pulldown + R pullup Once pull-up(pull-down) unit is fixed its counterpart pull-down(pull-up) unit size is fixed using equation (1) and (2). Figure 8 shows two types of driver unit which has a source

9 termination resistor. To minimize Rmismatch, separated Rs resistor for each pullup and pulldown unit is recommended. Otherwise merged Rs type is good for Cio minimization. In this paper we use separated Rs resistor type driver unit provided good linearity, and also it can reduce the Rmismatch less than 0.1%. Figure 7: Active device and passive device ratio and its Cio values Rs Rs1 Rs2 (a) Figure 8: Source termination configuration in driver unit (a) Merged type (b) Separated type (b)

10 After unit driver feature is fixed, the next step is to decide the driver impedance combinations. The conventional LPDDR2/LPDDR3 interfaces support 240Ω based driver impedance e.g. 240/120/80/60/48/40/34/30Ω and POD for 240Ω or 120Ω. To save power consumption and increase signal quality for mobile device, we adopt a versatile driver impedance control scheme which has backward compatibility to the conventional LPDDR2/LPDDR3 impedance such as 240/120/80/60/48/40/34/30Ω and also 160/96/69/53/42/37/32/28Ω impedance. To do this, 8ea 240Ω unit drivers and a 480Ω unit driver configuration are proposed in our research. The 480Ω unit driver can easily be implemented using 240Ω unit driver. This versatile driver impedance gives power saving and signal integrity improvement for various mobile devices which uses LPDDR2/LPDDR3 memory. We also provide a different driver impedance control scheme for each DQ/DQS/CA/CLK signals by using separated control block while conventional scheme is using a common control block. This feature also helps increase signal integrity under various package/board environments. Figure 9 shows the proposed unit driver impedance and driver impedance control scheme. Figure 9: Unit driver configurations DRAM Duty Cycle Optimization A specific bit at READ had narrower pulse width and DQS had a large ringing after normal data transfer was found during the first sample measurement. As LPDDR3 does not have DLL circuitry on DRAM side, timing was tuned by IO circuitry only. DRAM Automatic Test Equipment (ATE) test result of the first LPDDR3 sample showed mismatch in duty cycle. This mismatched duty reduced the timing margin and caused a large post-amble ringing in DQS. Figure 10 shows the post-amble ringing amplitude with respect to the duty ratio. The ringing was amplified by channel length at 1.2GHz. A wider low duty leads to reduction in voltage ringing due to damping effect.

11 Figure 10: READ DQS post amble ringing simulation with respect to DQS pulse width Based on simulation results of DQS post-amble ringing vs. duty ratio, DQS s duty cycle was the important factor to determine the ringing level. In the case of correcting duty ratio from 6.5:3.5 in the H: L to 5.5:4.5, the DQS post-amble ringing was stabilized. Although duty ratio was satisfied with LPDDR3 spec, DRAM duty was corrected to get more timing margin and reduced the ringing level. Figure 11 shows the DQS duty ration using ATE. After DQS duty ratio was corrected, DQS post amble ringing at READ was stabilized at the second sample platform. The ringing voltage was measured at 0.7Vpp from 2Vpp and it did not cross over the 0-level as shown in Figure 11. Figure 11: DQS and post-amble stabilization with corrected DRAM duty ratio

12 Fast Dynamic ZQ Calibration For 1.6 Gbps LPDDR3 interface, digitally compensated driver scheme is recommended [4]. Figure 12 shows an example of a digital compensation scheme. The reference generator uses a resistor bridge to select transistor leg sizes, by comparison, against a reference resistor value which is proportional to the target driver impedance. The reference resistor, Rext, can be an external or an internal resistor depending upon tolerance requirements. The legs are enabled until the voltage of the enabled legs equals to that of the reference resistor, Rext. Driver impedance variation can be compensated by using 3bit binary weighted digital compensation techniques. Each leg is binary weighted, allowing for a simpler design, uniform coverage with fewer steps and greater efficiency. One benefit from using digital compensation is that compensation information can be easily distributed across the pad rings as a DC signal. The digital compensation scheme should be carefully designed and evaluated for output impedance error due to quantization and drift of temperature and VDDQ voltage. In order to avoid to such drift, the compensation information to the output buffer requires periodic update to maintain required tracking of temperature and voltage drift. To reduce ZQ calibration time, fast ZQ calibration scheme is adopted in this paper. During the initial power-up period, accurate calibration procedure is going. After initial period is over and memory interfaces start to work, there will be a temperature/voltage discrepancy compared to the initial condition. At that time fast calibration process are working, tunes the driver/termination impedance with in 20 clock cycles using post processing circuit. The post processing circuitry compares the current code which results from the initial calibration and next code which comes from current code +1,+2,-2,-1 in advance, and makes a decision for final code update. Due to fast dynamic calibration, fly-by impedance correction is possible for improving SI. Impedence Tuning Circuit Post Processig Output Driver Pull-up Array PEND FSM Pull-up Array CLK/ START + - Decision Circuit Pull-up Codes Pull-up Array + - VREF START2 PAD + - Pull-down Array + - Decision Circuit Pull-down Codes Pull-down Array NEND FSM RESET REQ_LONG REQ_FORCE REQ_FAST Long Calibration Max. 125 cycle External mode Short Calibration Max. 20 cycle ACK ZQ Weak pull-up Hi-Z Weak pull-up Hi-Z Pull-up Codes Pull-down Codes Calibrated code Forced code Calibrated code Forced code Figure12: Fast ZQ calibration using post processing Calibrated code Calibrated code

13 DQ0 DQ1 DQ2 DQ3 DQ4 DQ5 DQ6 DQ7 DQ8 DQ9 DQ10 DQ11 DQ12 DQ13 DQ14 DQ15 DQ16 DQ17 DQ18 DQ19 DQ20 DQ21 DQ22 DQ23 DQ24 DQ25 DQ26 DQ27 DQ28 DQ29 DQ30 DQ31 On-die De-cap Optimization To reduce SSO noise in weak Power Delivery Network (PDN) condition, e.g. POP package environment, On-die de-cap insertion is considered, but adding on-die de-cap increases the chip size. An accurate and fast method for optimizing on-die de-cap is needed. To maintain lower PDN impedance, on-die de-cap optimization was studied by using worst patterns. To shorten the test time, effective test patterns, which boost signal degradations, are necessary for system test and SI simulation. Effective and short test patterns were implemented by using the Peak Distortion Analysis (PDA) method. Basic PDA method uses only single mode pulse response but in this work, pulse responses of even and odd modes were also used to include mode impedance and tpd (time Propagation Delay) variation. When it s on even mode, the channel impedance goes up and the tpd is increased by strong inductive coupling. But when it s at odd mode, channel impedance goes down and tpd is reduced. As channel impedance is changed by signal mode, accurate signal mode effect test patterns should be included. Worst patterns were determined by basic PDA equations in (3) for the each mode [5]. Figure 13 shows the simulated eye opening size under with on-die de-cap and without on-die de-cap. ISI +, V WC1 = ISI (3) w de-cap w/o de-cap (a) w de-cap w/o de-cap A0 A1 A2 A3 A4 A5 A6 A7 A8 A9 (b) Figure13: Eye opening size [ps] (a) DQ signals (b) C/A signals

14 To increase effective capacitance and reduce shunt resistance [6], on-die de-cap should be placed closely at the output driver which has large power fluctuation and connect to power/ground rail using low resistance inter connect material. Figure 14 depicts proposed on-die de-cap insertion. Based on empirical data, to achieve 1.6Gbps LPDDR3, at least 1000pF on-die de-cap is required per one LPDDR3 channel. To ensure total 1000pF, 300pF additional on-die de-cap is inserted under LPDDR3 IOs. Signal PAD VDDQ supply VSSQ supply Signal PAD VDDQ supply VSSQ supply VDDQ output driver VSSQ VDDQ VSSQ VDDQ VSSQ stacking de-cap unit to increase capacitance Bump connection Place under signal PAD Place under signal VDDQ supply PAD Place under signal VSSQ supply PAD Figure14: Additional on-die de-cap insertion

15 LPDDR3 Fundamental and its 3 rd Harmonic Frequency noise affects various wireless communication standards such as GSM, GPS, WCDMA, Wi-Fi etc. Especially the 3 rd harmonic frequency of LPDDR3, 2.4GHz, is overlapped to Wi-Fi frequency range. Careful observation for EMI and solutions for reduce EMI level must be considered. EMI level test for various driver impedance and slew-rate options was done using the TEM cell board environment [7]. An optimal driving impedance and slew-rate selection is a key factor for reducing EMI level. In this paper 2.4~3.0V/ns slew-rate is selected considering timing margin and EMI level. Figure 15 shows the EMI level measurement data for various driver impedance and slew-rate option. Figure15: EMI level test results (a) 3 rd harmonic level (b) Time domain waveforms (c) EMI level difference according to driver impedance/slew-rate (d) Slew-rate values

16 Test Results The world s first LPDDR3 platform which was fabricated using 28nm High-K low power CMOS process achieves 1.6Gbps operation frequency bin. Measured Eye diagram and voltage margin under SMDK board are shown in Figure 16. Typical voltage for logic and LPDDR3 interface is 1.0V and 1.2V, respectably. An LVcc test result shows the successfully 1.6Gbps operation is possible even Vcore=887mV and VDDQ=1.05V. (a) Vcore VDDQ 1200 mv 1150 mv 1100 mv 1050 mv 1000 mv 1000 mv Pass Pass Pass Pass Fail 968 mv Pass Pass Pass Pass Fail 956 mv Pass Pass Pass Pass Fail 950 mv Pass Pass Pass Pass Fail 937 mv Pass Pass Pass Pass Fail 925 mv Pass Pass Pass Pass Fail 918 mv Pass Pass Pass Pass Fail 912 mv Pass Pass Pass Pass Fail 906 mv Pass Pass Pass Pass Fail 893 mv Pass Pass Pass Pass Fail 887 mv Fail Fail Fail Fail Fail (b) Figure16: LPDDR3 interface test results (a) Eye diagram (b) LVcc margin Conclusions In this paper, we presented how we enabled the world s first LPDDR3 1.6Gbps for mobile AP which support WQXGA display and achieved robust signaling solutions without POD termination using 28nm low power CMOS process technology. For enabling works for LPDDR3 1.6Gbps signaling without termination, we analyzed each

17 SI/PI phenomenon through time- to frequency-domain characterization in a system-level environment. Key solutions for stable 1.6Gbps signaling such as reduction of I/O driver Cio, versatile driver impedance and reinforcement of calibration method for on-time impedance tracking were discussed. Also PDN improvement by lowering impedance along with on-die decoupling capacitor optimization and correction of DRAM duty cycle in LPDDR3 memory device were reviewed. References [1] J. Kim, et al. A 1.2V 12.8GB/s 2Gb Mobile Wide-I/O DRAM with 4X128 I/Os using TSV based Stacking, ISSCC Dig. Tech. Papers. pp , Feb [2] Yong-Cheol Bae, et al. A 1.2V 30nm 1.6Gbp/s/pin 4Gb LPDDR3 SDRAM with Input Skew Calibration and Enhanced Control Scheme, ISSCC Dig. Tech. Papers, pp.44-46, Feb [3] Pandit, S. V. & Ryu, W. H., Power Integrity for I/O Interfaces: With Signal integrity/power Integrity Co-design (Prentice Hall Modern Semiconductor Design Series, 2010) [4] AGP Design Guide Revision 2.0, Intel Corporation, [5] Hall, S. H. & Heck, H. L. Advanced Signal Integrity for High-Speed Digital Designs, IEEE: Wiley, [6] Swaminathan, M. & Eugin, A. E. Power Integrity Modeling and Design for Semiconductors and Systems: Prentice hall, 2007, pp.37. [7] Seung-Bae Lee, et al. Electromagnetic Interference (EMI) Behavior of System in Package(SIP), Electromagnetic Compatibility (EMC). pp.76-80, Aug

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER World s First LPDDR3 Enabling for Mobile Application Processors System Contents Introduction Problem Statements at Early mobile platform Root-cause, Enablers

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

PDS Impact for DDR Low Cost Design

PDS Impact for DDR Low Cost Design PDS Impact for DDR3-1600 Low Cost Design Jack W.C. Lin Sr. AE Manager jackl@cadence.com Aug. g 13 2013 Cadence, OrCAD, Allegro, Sigrity and the Cadence logo are trademarks of Cadence Design Systems, Inc.

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

SSO Noise, Eye Margin, and Jitter Characterization for I/O Power Integrity

SSO Noise, Eye Margin, and Jitter Characterization for I/O Power Integrity DESIGNCON 2009 SSO Noise, Eye Margin, and Jitter Characterization for I/O Power Integrity Vishram S. Pandit, Intel Corporation [vishram.s.pandit@intel.com, (916)356-2059] Ashish N. Pardiwala, Intel Corporation

More information

A Co-design Methodology of Signal Integrity and Power Integrity

A Co-design Methodology of Signal Integrity and Power Integrity DesignCon 2006 A Co-design Methodology of Signal Integrity and Power Integrity Woong Hwan Ryu, Intel Corporation woong.hwan.ryu@intel.com Min Wang, Intel Corporation min.wang@intel.com 1 Abstract As PCB

More information

Wideband On-die Power Supply Decoupling in High Performance DRAM

Wideband On-die Power Supply Decoupling in High Performance DRAM Wideband On-die Power Supply Decoupling in High Performance DRAM Timothy M. Hollis, Senior Member of the Technical Staff Abstract: An on-die decoupling scheme, enabled by memory array cell technology,

More information

DDR4 memory interface: Solving PCB design challenges

DDR4 memory interface: Solving PCB design challenges DDR4 memory interface: Solving PCB design challenges Chang Fei Yee - July 23, 2014 Introduction DDR SDRAM technology has reached its 4th generation. The DDR4 SDRAM interface achieves a maximum data rate

More information

Myoung Joon Choi, Vishram S. Pandit Intel Corp.

Myoung Joon Choi, Vishram S. Pandit Intel Corp. Myoung Joon Choi, Vishram S. Pandit Intel Corp. IBIS Summit at DesignCon 2010 Acknowledgements: Woong Hwan Ryu, Joe Salmon Copyright 2010, Intel Corporation. All rights reserved. Need for SI/PI Co-analysis

More information

Signal Technologies 1

Signal Technologies 1 Signal Technologies 1 Gunning Transceiver Logic (GTL) - evolution Evolved from BTL, the backplane transceiver logic, which in turn evolved from ECL (emitter-coupled logic) Setup of an open collector bus

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design DesignCon 2009 Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design Hsing-Chou Hsu, VIA Technologies jimmyhsu@via.com.tw Jack Lin, Sigrity Inc.

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

Signal Integrity Modeling and Measurement of TSV in 3D IC

Signal Integrity Modeling and Measurement of TSV in 3D IC Signal Integrity Modeling and Measurement of TSV in 3D IC Joungho Kim KAIST joungho@ee.kaist.ac.kr 1 Contents 1) Introduction 2) 2.5D/3D Architectures with TSV and Interposer 3) Signal integrity, Channel

More information

ULTRASCALE DDR4 DE-EMPHASIS AND CTLE FEATURE OPTIMIZATION WITH STATISTICAL ENGINE FOR BER SPECIFICATION

ULTRASCALE DDR4 DE-EMPHASIS AND CTLE FEATURE OPTIMIZATION WITH STATISTICAL ENGINE FOR BER SPECIFICATION ULTRASCALE DDR4 DE-EMPHASIS AND CTLE FEATURE OPTIMIZATION WITH STATISTICAL ENGINE FOR BER SPECIFICATION Penglin Niu, penglin@xilinx.com Fangyi Rao, fangyi_rao@keysight.com Juan Wang, juanw@xilinx.com Gary

More information

/14/$ IEEE 470

/14/$ IEEE 470 Analysis of Power Distribution Network in Glass, Silicon Interposer and PCB Youngwoo Kim, Kiyeong Kim Jonghyun Cho, and Joungho Kim Department of Electrical Engineering, KAIST Daejeon, South Korea youngwoo@kaist.ac.kr

More information

TITLE. Capturing (LP)DDR4 Interface PSIJ and RJ Performance. Image. Topic: Topic: John Ellis, Synopsys, Inc. Topic: malesuada blandit euismod.

TITLE. Capturing (LP)DDR4 Interface PSIJ and RJ Performance. Image. Topic: Topic: John Ellis, Synopsys, Inc. Topic: malesuada blandit euismod. TITLE Topic: o Nam elementum commodo mattis. Pellentesque Capturing (LP)DDR4 Interface PSIJ and RJ Performance malesuada blandit euismod. Topic: John Ellis, Synopsys, Inc. o o Nam elementum commodo mattis.

More information

To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence.

To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab2- Channel Models Objective To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence. Introduction

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

Asian IBIS Summit, Tokyo, Japan

Asian IBIS Summit, Tokyo, Japan Asian IBIS Summit, Tokyo, Japan Satoshi Nakamizo / 中溝哲士 12 Nov. 2018 Keysight Technologies Japan K.K. T h e d a t a e y e i s c l o s i n g 1600 3200 6400 Memory channel BW limited Rj improving slowly

More information

Implementation of Power Transmission Lines to Field Programmable Gate Array ICs for Managing Signal and Power Integrity

Implementation of Power Transmission Lines to Field Programmable Gate Array ICs for Managing Signal and Power Integrity Implementation of Power Transmission Lines to Field Programmable Gate Array ICs for Managing Signal and Power Integrity Sang Kyu Kim, Satyanarayana Telikepalli, Sung Joo Park, Madhavan Swaminathan and

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information

SINCE the performance of personal computers (PCs) has

SINCE the performance of personal computers (PCs) has 334 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 5, MAY 2010 Multi-Slot Main Memory System for Post DDR3 Jaejun Lee, Sungho Lee, and Sangwook Nam, Member, IEEE Abstract This

More information

Techniques for On-Chip Process Voltage and Temperature Detection and Compensation

Techniques for On-Chip Process Voltage and Temperature Detection and Compensation Techniques for On-Chip Process Voltage and Temperature Detection and Compensation Qadeer A. Khan 1, G.K. Siddhartha 2, Divya Tripathi 3, Sanjay Kumar Wadhwa 4, Kulbhushan Misri 5 Freescale Semiconductor

More information

Ultra-high-speed Interconnect Technology for Processor Communication

Ultra-high-speed Interconnect Technology for Processor Communication Ultra-high-speed Interconnect Technology for Processor Communication Yoshiyasu Doi Samir Parikh Yuki Ogata Yoichi Koyanagi In order to improve the performance of storage systems and servers that make up

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

TITLE. Image. Topic: Topic: Hee-Soo o LEE, Keysight Technologies Cindy Cui, Keysight Technologies

TITLE. Image. Topic: Topic: Hee-Soo o LEE, Keysight Technologies Cindy Cui, Keysight Technologies TITLE Topic: Accurate o Nam elementum Statistical-Based commodo mattis. Pellentesque DDR4 Margin Estimation using malesuada SSN blandit Induced euismod. Jitter Model Topic: Hee-Soo o LEE, Keysight Technologies

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

Overcoming Obstacles to Closing Timing for DDR and Beyond. John Ellis Sr. Staff R&D Engineer Synopsys, Inc.

Overcoming Obstacles to Closing Timing for DDR and Beyond. John Ellis Sr. Staff R&D Engineer Synopsys, Inc. Overcoming Obstacles to Closing Timing for DDR3-1600 and Beyond John Ellis Sr. Staff R&D Engineer Synopsys, Inc. Agenda Timing budgets 1600 2133Mbps? Static vs. Dynamic Uncertainty Sources Benefits of

More information

Logic Analyzer Probing Techniques for High-Speed Digital Systems

Logic Analyzer Probing Techniques for High-Speed Digital Systems DesignCon 2003 High-Performance System Design Conference Logic Analyzer Probing Techniques for High-Speed Digital Systems Brock J. LaMeres Agilent Technologies Abstract Digital systems are turning out

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.4, AUGUST, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.4.552 ISSN(Online) 2233-4866 A 1.5 Gbps Transceiver Chipset in 0.13-mm

More information

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications

Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Fast-lock all-digital DLL and digitally-controlled phase shifter for DDR controller applications Duo Sheng 1a), Ching-Che Chung 2,andChen-YiLee 1 1 Department of Electronics Engineering & Institute of

More information

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs

Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Power Distribution Network Design for Stratix IV GX and Arria II GX FPGAs Transceiver Portfolio Workshops 2009 Question What is Your PDN Design Methodology? Easy Complex Historical Full SPICE simulation

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS

A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS A SWITCHED-CAPACITOR POWER AMPLIFIER FOR EER/POLAR TRANSMITTERS Sang-Min Yoo, Jeffrey Walling, Eum Chan Woo, David Allstot University of Washington, Seattle, WA Submission Highlight A fully-integrated

More information

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL POWER INTEGRITY ANALYSIS AND MANAGEMENT I CIRCUITS Raj Nair Donald Bennett PRENTICE HALL Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

To learn S-parameter, eye diagram, ISI, modulation techniques and to simulate in Matlab and Cadence.

To learn S-parameter, eye diagram, ISI, modulation techniques and to simulate in Matlab and Cadence. 1 ECEN 689 High-Speed Links Circuits and Systems Lab2- Channel Models Objective To learn S-parameter, eye diagram, ISI, modulation techniques and to simulate in Matlab and Cadence. Introduction S-parameters

More information

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV)

Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV) Electrical Characteristics Analysis and Comparison between Through Silicon Via(TSV) and Through Glass Via(TGV) Jihye Kim, Insu Hwang, Youngwoo Kim, Heegon Kim and Joungho Kim Department of Electrical Engineering

More information

A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link

A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link 1 A 0.18µm CMOS 3.125-Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link Ki-Hyuk Lee, Jae-Wook Lee nonmembers and Woo-Young Choi regular member

More information

Optimizing On Die Decap in a System at Early Stage of Design Cycle

Optimizing On Die Decap in a System at Early Stage of Design Cycle Optimizing On Die Decap in a System at Early Stage of Design Cycle Naresh Dhamija Pramod Parameswaran Sarika Jain Makeshwar Kothandaraman Praveen Soora Disclaimer: The scope of approach presented is limited

More information

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs

An All-digital Delay-locked Loop using a Lock-in Pre-search Algorithm for High-speed DRAMs JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.6, DECEMBER, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.6.825 ISSN(Online) 2233-4866 An All-digital Delay-locked Loop using

More information

High Speed Digital Design & Verification Seminar. Measurement fundamentals

High Speed Digital Design & Verification Seminar. Measurement fundamentals High Speed Digital Design & Verification Seminar Measurement fundamentals Agenda Sources of Jitter, how to measure and why Importance of Noise Select the right probes! Capture the eye diagram Why measure

More information

ECE 546 Introduction

ECE 546 Introduction ECE 546 Introduction Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 546 Jose Schutt Aine 1 Future System Needs and Functions Auto Digital

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines

Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines Cyclone III Simultaneous Switching Noise (SSN) Design Guidelines December 2007, ver. 1.0 Introduction Application Note 508 Low-cost FPGAs designed on 90-nm and 65-nm process technologies are made to support

More information

Minimizing Coupling of Power Supply Noise Between Digital and RF Circuit Blocks in Mixed Signal Systems

Minimizing Coupling of Power Supply Noise Between Digital and RF Circuit Blocks in Mixed Signal Systems Minimizing Coupling of Power Supply Noise Between Digital and RF Circuit Blocks in Mixed Signal Systems Satyanarayana Telikepalli, Madhavan Swaminathan, David Keezer Department of Electrical & Computer

More information

Design of a Temperature-Compensated Crystal Oscillator Using the New Digital Trimming Method

Design of a Temperature-Compensated Crystal Oscillator Using the New Digital Trimming Method Journal of the Korean Physical Society, Vol. 37, No. 6, December 2000, pp. 822 827 Design of a Temperature-Compensated Crystal Oscillator Using the New Digital Trimming Method Minkyu Je, Kyungmi Lee, Joonho

More information

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review

Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Substrate Coupling in RF Analog/Mixed Signal IC Design: A Review Ashish C Vora, Graduate Student, Rochester Institute of Technology, Rochester, NY, USA. Abstract : Digital switching noise coupled into

More information

Vishram S. Pandit, Intel Corporation (916) ]

Vishram S. Pandit, Intel Corporation (916) ] DesignCon 2008 Simulation and Characterization of GHz On-Chip Power Delivery Network (PDN) Vishram S. Pandit, Intel Corporation [vishram.s.pandit@intel.com, (916)356-2059] Woong Hwan Ryu, Intel Corporation

More information

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 http://dx.doi.org/10.5573/jsts.2012.12.4.405 An 8-Gb/s Inductorless Adaptive Passive Equalizer in 0.18- µm CMOS Technology

More information

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 380 391 A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator Seok KIM 1, Seung-Taek YOO 1,2,

More information

Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer

Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer Signal and Power Integrity Analysis in 2.5D Integrated Circuits (ICs) with Glass, Silicon and Organic Interposer Youngwoo Kim 1, Jonghyun Cho 1, Kiyeong Kim 1, Venky Sundaram 2, Rao Tummala 2 and Joungho

More information

A design of 16-bit adiabatic Microprocessor core

A design of 16-bit adiabatic Microprocessor core 194 A design of 16-bit adiabatic Microprocessor core Youngjoon Shin, Hanseung Lee, Yong Moon, and Chanho Lee Abstract A 16-bit adiabatic low-power Microprocessor core is designed. The processor consists

More information

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9

ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 ISSCC 2006 / SESSION 11 / RF BUILDING BLOCKS AND PLLS / 11.9 11.9 A Single-Chip Linear CMOS Power Amplifier for 2.4 GHz WLAN Jongchan Kang 1, Ali Hajimiri 2, Bumman Kim 1 1 Pohang University of Science

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

EMI Reduction on an Automotive Microcontroller

EMI Reduction on an Automotive Microcontroller EMI Reduction on an Automotive Microcontroller Design Automation Conference, July 26 th -31 st, 2009 Patrice JOUBERT DORIOL 1, Yamarita VILLAVICENCIO 2, Cristiano FORZAN 1, Mario ROTIGNI 1, Giovanni GRAZIOSI

More information

INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT

INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT INVENTION DISCLOSURE- ELECTRONICS SUBJECT MATTER IMPEDANCE MATCHING ANTENNA-INTEGRATED HIGH-EFFICIENCY ENERGY HARVESTING CIRCUIT ABSTRACT: This paper describes the design of a high-efficiency energy harvesting

More information

Signal/Power Integrity Analysis of High-Speed Memory Module with Meshed Reference Plane 1

Signal/Power Integrity Analysis of High-Speed Memory Module with Meshed Reference Plane 1 , pp.119-128 http//dx.doi.org/10.14257/ijca.2018.11.7.10 Signal/Power Integrity Analysis of High-Speed Memory Module with Meshed Reference Plane 1 Moonjung Kim Institute of IT Convergence Technology, Dept.

More information

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi High Speed Design Issues and Jitter Estimation Techniques Jai Narayan Tripathi (jainarayan.tripathi@st.com) Outline Part 1 High-speed Design Issues Signal Integrity Power Integrity Jitter Power Delivery

More information

Effect of Aging on Power Integrity of Digital Integrated Circuits

Effect of Aging on Power Integrity of Digital Integrated Circuits Effect of Aging on Power Integrity of Digital Integrated Circuits A. Boyer, S. Ben Dhia Alexandre.boyer@laas.fr Sonia.bendhia@laas.fr 1 May 14 th, 2013 Introduction and context Long time operation Harsh

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug JEDEX 2003 Memory Futures (Track 2) High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug Brock J. LaMeres Agilent Technologies Abstract Digital systems are turning out

More information

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.4, DECEMBER, 2006 281 A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration Tae-Geun Yu, Seong-Ik Cho, and Hang-Geun Jeong

More information

Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC

Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC DesignCon 2017 Performance Improvement by System Aware Substrate Noise Analysis for Mixed-signal IC Kwangseok Choi, Samsung Electronics Inc. [aquarian505@gmail.com] Byunghyun Lee, Samsung Electronics Inc.

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop

A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop A Random and Systematic Jitter Suppressed DLL-Based Clock Generator with Effective Negative Feedback Loop Seong-Jin An 1 and Young-Shig Choi 2 Department of Electronic Engineering, Pukyong National University

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

AN-742 APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA Tel: 781/ Fax: 781/

AN-742 APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA Tel: 781/ Fax: 781/ APPLICATION NOTE One Technology Way P.O. Box 9106 Norwood, MA 02062-9106 Tel: 781/329-4700 Fax: 781/461-3113 www.analog.com Frequency Domain Response of Switched-Capacitor ADCs by Rob Reeder INTRODUCTION

More information

Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices

Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices DesignCon 216 Chip and Package-Level Wideband EMI Analysis for Mobile DRAM Devices Jin-Sung Youn, Samsung Electronics Inc. jinsung.youn@samsung.com, youn.jinsung75@gmail.com Jieun Park, Samsung Electronics

More information

Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis

Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis Micro Chang htc Michael_Chang@hTC.com Jan 9, 2019 X 1 Agenda Jitter-aware target impedance of power delivery network

More information

Widely Tunable Adaptive Resolution-controlled Read-sensing Reference Current Generation for Reliable PRAM Data Read at Scaled Technologies

Widely Tunable Adaptive Resolution-controlled Read-sensing Reference Current Generation for Reliable PRAM Data Read at Scaled Technologies JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.3, JUNE, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.3.363 ISSN(Online) 2233-4866 Widely Tunable Adaptive Resolution-controlled

More information

Using IBIS Models for Timing Analysis

Using IBIS Models for Timing Analysis Application Report SPRA839A - April 2003 Using IBIS Models for Timing Analysis ABSTRACT C6000 Hardware Applications Today s high-speed interfaces require strict timings and accurate system design. To achieve

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Outline

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Outline EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture #7 Components Termination, Transmitters & Receivers Jared Zerbe 2/10/04 Outline General issues Termination

More information

Methodology for MMIC Layout Design

Methodology for MMIC Layout Design 17 Methodology for MMIC Layout Design Fatima Salete Correra 1 and Eduardo Amato Tolezani 2, 1 Laboratório de Microeletrônica da USP, Av. Prof. Luciano Gualberto, tr. 3, n.158, CEP 05508-970, São Paulo,

More information

Characterization Methodology for High Density Microwave Fixtures. Dr. Brock J. LaMeres, Montana State University

Characterization Methodology for High Density Microwave Fixtures. Dr. Brock J. LaMeres, Montana State University DesignCon 2008 Characterization Methodology for High Density Microwave Fixtures Dr. Brock J. LaMeres, Montana State University lameres@ece.montana.edu Brent Holcombe, Probing Technology, Inc brent.holcombe@probingtechnology.com

More information

A 2.4 GHZ RECEIVER IN SILICON-ON-SAPPHIRE MICHAEL PETERS. B.S., Kansas State University, 2009 A REPORT

A 2.4 GHZ RECEIVER IN SILICON-ON-SAPPHIRE MICHAEL PETERS. B.S., Kansas State University, 2009 A REPORT A 2.4 GHZ RECEIVER IN SILICON-ON-SAPPHIRE by MICHAEL PETERS B.S., Kansas State University, 2009 A REPORT submitted in partial fulfillment of the requirements for the degree MASTER OF SCIENCE Department

More information

Course Introduction. Content: 19 pages 3 questions. Learning Time: 30 minutes

Course Introduction. Content: 19 pages 3 questions. Learning Time: 30 minutes Course Introduction Purpose: This course discusses techniques that can be applied to reduce problems in embedded control systems caused by electromagnetic noise Objectives: Gain a basic knowledge about

More information

Downloaded from edlib.asdf.res.in

Downloaded from edlib.asdf.res.in ASDF India Proceedings of the Intl. Conf. on Innovative trends in Electronics Communication and Applications 2014 242 Design and Implementation of Ultrasonic Transducers Using HV Class-F Power Amplifier

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

Technical Article A DIRECT QUADRATURE MODULATOR IC FOR 0.9 TO 2.5 GHZ WIRELESS SYSTEMS

Technical Article A DIRECT QUADRATURE MODULATOR IC FOR 0.9 TO 2.5 GHZ WIRELESS SYSTEMS Introduction As wireless system designs have moved from carrier frequencies at approximately 9 MHz to wider bandwidth applications like Personal Communication System (PCS) phones at 1.8 GHz and wireless

More information

Using the CDC857 and CDCV850 to Transform a Single-Ended Clock Signal Into Differential Outputs

Using the CDC857 and CDCV850 to Transform a Single-Ended Clock Signal Into Differential Outputs Application Report SCAA043 - September 2000 Using the CDC857 and CDCV850 to Transform a Single-Ended Clock Signal Into Differential Outputs Falk Alicke MSDS Application Team ABSTRACT The CDC857 and the

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

DesignCon 2017 Characterization of DDR4 Receiver Sensitivity Impact on Post-equalization Eye

DesignCon 2017 Characterization of DDR4 Receiver Sensitivity Impact on Post-equalization Eye DesignCon 2017 Characterization of DDR4 Receiver Sensitivity Impact on Post-equalization Eye Yong Wang, Xilinx Inc. Thomas To, Xilinx Inc. Penglin Niu, Xilinx Inc. Fangyi Rao, Keysight Technologies Juan

More information

NOWADAYS, multistage amplifiers are growing in demand

NOWADAYS, multistage amplifiers are growing in demand 1690 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 9, SEPTEMBER 2004 Advances in Active-Feedback Frequency Compensation With Power Optimization and Transient Improvement Hoi

More information

CHAPTER 8 PHOTOMULTIPLIER TUBE MODULES

CHAPTER 8 PHOTOMULTIPLIER TUBE MODULES CHAPTER 8 PHOTOMULTIPLIER TUBE MODULES This chapter describes the structure, usage, and characteristics of photomultiplier tube () modules. These modules consist of a photomultiplier tube, a voltage-divider

More information

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

Dynamic Threshold for Advanced CMOS Logic

Dynamic Threshold for Advanced CMOS Logic AN-680 Fairchild Semiconductor Application Note February 1990 Revised June 2001 Dynamic Threshold for Advanced CMOS Logic Introduction Most users of digital logic are quite familiar with the threshold

More information

A New Capacitive Sensing Circuit using Modified Charge Transfer Scheme

A New Capacitive Sensing Circuit using Modified Charge Transfer Scheme 78 Hyeopgoo eo : A NEW CAPACITIVE CIRCUIT USING MODIFIED CHARGE TRANSFER SCHEME A New Capacitive Sensing Circuit using Modified Charge Transfer Scheme Hyeopgoo eo, Member, KIMICS Abstract This paper proposes

More information

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, 2014 http://dx.doi.org/10.5573/jsts.2014.14.3.331 A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

More information