Wideband On-die Power Supply Decoupling in High Performance DRAM

Size: px
Start display at page:

Download "Wideband On-die Power Supply Decoupling in High Performance DRAM"

Transcription

1 Wideband On-die Power Supply Decoupling in High Performance DRAM Timothy M. Hollis, Senior Member of the Technical Staff Abstract: An on-die decoupling scheme, enabled by memory array cell technology, is presented. Resonance mitigation through the introduction of explicit series resistance in the capacitive decoupling path is shown to improve the power delivery characteristics over mid-range frequencies, while degrading the high-frequency power delivery response. A wideband decoupling scheme is proposed, in which a portion of the on-die decoupling is introduced with a corresponding damping resistance, while a second set of capacitors are placed, resistance free, at carefully chosen locations throughout the integrated circuitry. The proposed strategy is shown to minimize resonant behaviors, while enhancing the high frequency response of the power delivery network. Index Terms: power supply decoupling, equivalent series resistance, power supply resonance I. INTRODUCTION High-performance integrated circuits generally require that the corresponding power delivery network (PDN) exhibit a low-impedance profile over a wide frequency band. Unfortunately, on-chip resistance and off-chip inductance introduce parasitic series impedances, which increase with frequency. To mitigate this series impedance, on- and offchip decoupling capacitance is introduced at various levels of the PDN hierarchy. While the decoupling capacitance serves to lower the PDN impedance over high frequencies, a common side effect of explicitly placed capacitance is the formation of resonant tanks in the voltage supply lines, which may be excited through circuit activity. Potential resonances identified during the PDN design phase may be suppressed through the introduction of resistance in the resonant (LC) loop. However, this added series resistance has the tendency to raise the PDN impedance at higher frequencies, increasing the highfrequency on-chip noise. This work presents an alternative on-chip decoupling network which is shown to mitigate PDN resonances without degrading the PDN response at higher frequencies. The following section briefly describes the electrical characteristics of DRAM PDNs, using an abstracted model to illustrate the interaction of the various components, including potential resonances formed between external inductive components and the explicitlyplaced on-chip decoupling capacitors (decaps). The third section presents a decoupling strategy which suppresses PDN resonances at the cost of added high-frequency noise. This decoupling strategy is improved upon in section IV and shown to co-optimize both the mid- and high-frequency response of the PDN. The fifth section summarizes silicon validation of the proposed decap network, while the final section presents conclusions regarding the measured value of the decoupling strategy relative to its implementation complexity. II. DRAM PDN CHARACTERISTICS Fig. 1a presents a simplified model of a typical DRAM PDN, capturing the series inductance (L SYS) and resistance (R SYS) presented by the system (i.e., the package, module, motherboard, etc.), the series resistance (R PB) presented by the on-chip power bus, and the capacitance (C DEC) and resistance (R DEC) associated with the decoupling path. Fig. 1b provides the corresponding frequency-dependent impedance profile for the PDN, as seen from the perspective of the on-chip circuitry. Fig. 1. Simplified representation of the DRAM PDN. Corresponding frequency-dependent impedance profile. By applying fundamental circuit theory to this simple model, the components contributing to the low, high and mid-range frequency response of the PDN can be identified. First, the differential low frequency impedance, looking out from the circuitry, is determined by shortcircuiting the inductances, while open-circuiting the capacitances, which results in a residual impedance value of 2R PB+2R SYS. In a similar way, the high frequency differential impedance, determined by short-circuiting the

2 capacitances and open-circuiting the inductances, is found to be 2R PB+R DEC. Over the mid-range frequencies, the impedance profile comes to a peak at the point where the impedance incline associated with the series inductance (2 2π L SYS) intersects with the impedance decline associated with the bypass capacitance (1/(2π C DEC)). A more thorough evaluation of the PDN network of Fig. 1a reveals the transfer function captured in eqn 1. It is based on this equation that the quality factor (Q), which helps define the nature of the peaking behavior, is calculated and found to indicate that the peak PDN impedance can be reduced through inductance minimization (e.g., shorter package leads, power planes in the package and/or module, etc.) or through increasing the amount of decap. Further consideration of the Q shows that the peaking is also sensitive to the effective or equivalent series resistance (ESR) residing in the path of the high-frequency LC loop (R SYS and R DEC). It is also noted that the resistance separating the circuitry from the nearest decap (R PB) does not provide any benefit in terms of resonance damping, leading to the recommendation that decap be placed as close to the target circuit as possible. III. RESONANCE MITIGATION Based on the discussion above, and demonstrated in Fig. 2, an early attempt to tune the amount of decapassociated ESR (referenced above as R DEC) consisted of numerically searching for the resistance value which would minimize the maximum PDN impedance over all frequencies for a given combination of L SYS and C DEC. As shown, when the ESR is increased from 1-Ω to about 6-Ω, the peaking quickly disappears, but the impedance above the resonant frequency increases substantially and eventually dominates the response. For the case shown, the algorithm determined that an ESR of approximately 5- Ω would provide the lowest overall impedance. Fig. 2. Frequency-domain-centric ESR optimization. (1) (2) + (3) Because the negative aspects of this approach (e.g., increased PDN impedance at high frequencies) were difficult to indentify in the frequency domain, an alternate time-domain ESR-optimization methodology was developed. In this second technique, the frequency response of the PDN was translated into a corresponding impulse response through the Laplace Transform (See eqns. 2-4, which indentify the formats of the over-damped, under-damped and critically-damped PDN responses, respectively. The coefficients are removed for clarity.). The impulse response was then excited by a data pattern designed to stimulate the PDN at the calculated resonant frequency, as well as at a variety of frequencies related to the target DRAM operation (e.g., standard-specified datarates, etc.). Mathematically this was accomplished by convolving the PDN stimulus vector with the derived, parameterized impulse response. Fig. 3. Exemplary results from the time-domain-centric ESR optimization. As an example, Fig. 3 presents the estimated power and ground noise in a DDR configuration for three levels of ESR. The red curve, resulting from a particular amount of ESR, labeled here as ESR-C, clearly demonstrates a resonant response early in the pattern, while ESR-A and ESR-B exhibit less sensitivity. While this time-domaincentric ESR-optimization approach was found to improve the PDN s transient response, it still led to a trade-off between the resonance and high-frequency noise minimization. To resolve this, the decoupling connectivity would need to be altered. IV. PROPOSED DECOUPLING STRATEGY As the high-frequency PDN impedance is set primarily by the ESR, intuitively, a low-esr parallel path may be added to lower the combined decoupling impedance at (4)

3 higher frequencies. The schematic presented in Fig. 4 indicates that these two decoupling paths should be connected in parallel between the power rails of the offchip signaling domain. C RF (resonant frequency) is generally a large capacitance intended to lower the overall PDN impedance over mid-range frequencies. R DAMP is connected in series with C RF and is tuned to dampen any anticipated resonant behaviors based on the time-domaincentric ESR-optimization method presented in section III. C HF (high frequency) provides an additional low impedance decoupling path at frequencies above resonance. C CROSS is placed near the voltage domain boundary and is intended to smooth the boundary crossing by reducing the local noise on the internal domain. Fig. 5 demonstrates the effectiveness of the proposed topology. In this case, the value of R DAMP is held constant at 4-Ω, while the ratio C RF/C HF is varied. The legend in the figure represents the ratio, while the total equivalent capacitance was fixed at 350-pF. It is observed that when 100% of the capacitance is captured by C RF, there is a residual high-frequency impedance of 4-Ω, but as the contribution of the parallel, low ESR, path increases, the asymptotic behavior of the high-frequency impedance is eliminated. While there is a corresponding increase in the peak impedance near 100-MHz, were the R DAMP value removed, the impedance peak would scale by orders of magnitude. Thus, it is shown that both the mid-range and high-frequency response of the PDN may be enhanced through the proposed decoupling scheme. The enhancement achieved by the proposed network is more clearly demonstrated through time-domain simulation. Fig. 6a presents the impedance profiles for a variety of combinations of C RF + C HF, and fixed R DAMP (the legend reports the absolute capacitance of the two parallel branches). Fig 6b captures the resulting time-domain responses of each PDN profile, with corresponding colors between the two sub-figures. The bottom window in Fig. 6b presents the current demand under two distinct circuit operations, with black and pink representing continuous and bursty memory READ operations, respectively. The top window of Fig. 6b presents the resulting differential noise observed across the PDN near the point of stimulus for the continuous READ operation. Notice that the noise repeats at a relatively high frequency, corresponding to the on-chip clock frequency, which in this case was 800-MHz. This fundamental component of the clock is also identified in Fig. 6a by the small, dashed oval on the right. Note that the impedance represented by the light green curve, associated with the 50-pF/11-Ω decoupling is significantly larger at, and above, 800-MHz, and the resulting timedomain noise reflects that distinction. Fig. 4. Recommended decoupling connectivity in the DRAM I/O region. VSSQ and VSS are shorted by default. Fig. 5. Impact of the CRF/CHF ratio on the mid-range and high-frequency PDN impedance. Fig. 6. Impedance profiles for a variety of CRF+CHF combinations. Corresponding simulated time-domain behavior.

4 In contrast, the noise profile captured in the middle window of Fig. 6b corresponds to the bursty READ operation, in which the burst frequency was chosen to align with the anticipated 200-MHz resonance. Comparison of the impedance profiles in Fig. 6a would predict significantly larger noise for the PDN combination represented in red, and the time-domain results validate that prediction. By comparison, as the value of C RF is increased, the PDN appears less sensitive to the bursty READ pattern, yet does not degrade under the continuous pattern either, which is the intent of the proposed strategy. Were the value of C RF to be increased, without the C HF path in place, the noise generated by the continuous READ condition would not improve. V. SILICON VALIDATION To verify the effectiveness of the proposed decoupling scheme, a set of experiments were run on the V89C DDR3. Before defining the experiments, the potential for PDN resonance in the V89C was estimated based on the reported effective CRF, CHF, RDAMP and power loop inductance for the I/O voltage domain. These were determined to be 306-pF, 139-pF, 5-Ω and 1.5-nH, respectively, leading to an anticipated potential resonance near 195-MHz. Using these values, a resonance-exciting data pattern was derived. To ensure that any potential resonance in the 100s of MHz range would be stimulated, a READ pattern was developed in which all of the I/O lines are fundamentally driven with an alternating pattern, but with varying numbers of No-Operations (NOOPs) inserted between the READ bursts. Fig. 7a illustrates the stimulus vector for three distinct NOOP settings (0, 8 and 16 NOOPs between 8-bit bursts). The corresponding signal energy spectrum is presented in Fig. 7b along with the anticipated resonant frequency. It is observed that sweeping the number of inserted NOOPs effectively spreads the signal energy over a wide band of mid-range frequencies encompassing the anticipated resonance. Fig. 7. Subsets of the NOOP-sweep data pattern. Illustration of the corresponding spread spectrum signal energy. The anticipated VCCQ domain resonant frequency is indicated in red, and the clock fundamental and third harmonic are identified for reference. Fig. 8. Placeholder data not taken from actual experimental silicon. Fig. 8 reports the corresponding change in timing margin versus burst frequency (data shown is a placeholder). TBD VI. CONCLUSION Special thanks to ACKNOWLEDGMENT REFERENCES [1] S. Sun, L. D. Smith, and P. Poyle, On-chip PDN noise characterization and modeling, Proceedings of DesignCon, Feb., [2] S. Naffziger, Supply grid design and analysis, Signal and Power Integrity for SoCs Forum, IEEE International Solid State Circuits Conference, Feb. 11, [3] T. M. Hollis, S. Bodily and B. A. Millemon, Decoupling techniques and recommendations for enhancing power delivery, Micron Internal Design Seminar, Sept. 30, 2009.

5 T. M. Hollis received the B.S. degree in electrical engineering from the University of Utah, Salt Lake City, UT, in 2003 and the Ph.D. degree in Electrical Engineering from Brigham Young University, Provo, UT, in 2007, where his graduate work focused on channel equalization and jitter attenuation circuits for high-speed serial interconnects. As a graduate student he interned with Micron Technology, Inc., Boise, ID, and Intel Corporation s Circuit Research Laboratory, Hillsboro, OR. Following graduation he joined Micron s Advanced Architecture Group, where his duties have included I/O circuit design and signal integrity and power delivery analysis for multi-gigabit-per-second memory interfaces. He is currently a Senior Member of the Technical Staff and holds 25 issued patents (24 pending).

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces DesignCon 2010 On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces Ralf Schmitt, Rambus Inc. [Email: rschmitt@rambus.com] Hai Lan, Rambus Inc. Ling Yang, Rambus Inc. Abstract

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design DesignCon 2009 Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design Hsing-Chou Hsu, VIA Technologies jimmyhsu@via.com.tw Jack Lin, Sigrity Inc.

More information

Power supplies are one of the last holdouts of true. The Purpose of Loop Gain DESIGNER SERIES

Power supplies are one of the last holdouts of true. The Purpose of Loop Gain DESIGNER SERIES DESIGNER SERIES Power supplies are one of the last holdouts of true analog feedback in electronics. For various reasons, including cost, noise, protection, and speed, they have remained this way in the

More information

Internal Model of X2Y Chip Technology

Internal Model of X2Y Chip Technology Internal Model of X2Y Chip Technology Summary At high frequencies, traditional discrete components are significantly limited in performance by their parasitics, which are inherent in the design. For example,

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

Decoupling capacitor uses and selection

Decoupling capacitor uses and selection Decoupling capacitor uses and selection Proper Decoupling Poor Decoupling Introduction Covered in this topic: 3 different uses of decoupling capacitors Why we need decoupling capacitors Power supply rail

More information

Chapter 6. Small signal analysis and control design of LLC converter

Chapter 6. Small signal analysis and control design of LLC converter Chapter 6 Small signal analysis and control design of LLC converter 6.1 Introduction In previous chapters, the characteristic, design and advantages of LLC resonant converter were discussed. As demonstrated

More information

Using Analyst TM to Quickly and Accurately Optimize a Chip-Module-Board Transition

Using Analyst TM to Quickly and Accurately Optimize a Chip-Module-Board Transition Using Analyst TM to Quickly and Accurately Optimize a Chip-Module-Board Transition 36 High Frequency Electronics By Dr. John Dunn 3D electromagnetic Optimizing the transition (EM) simulators are commonly

More information

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest

The Inductance Loop Power Distribution in the Semiconductor Test Interface. Jason Mroczkowski Multitest The Inductance Loop Power Distribution in the Semiconductor Test Interface Jason Mroczkowski Multitest j.mroczkowski@multitest.com Silicon Valley Test Conference 2010 1 Agenda Introduction to Power Delivery

More information

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design

Chapter 6. Case Study: 2.4-GHz Direct Conversion Receiver. 6.1 Receiver Front-End Design Chapter 6 Case Study: 2.4-GHz Direct Conversion Receiver The chapter presents a 0.25-µm CMOS receiver front-end designed for 2.4-GHz direct conversion RF transceiver and demonstrates the necessity and

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Filter Considerations for the IBC

Filter Considerations for the IBC APPLICATION NOTE AN:202 Filter Considerations for the IBC Mike DeGaetano Application Engineering Contents Page Introduction 1 IBC Attributes 1 Input Filtering Considerations 2 Damping and Converter Bandwidth

More information

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL

CIRCUITS. Raj Nair Donald Bennett PRENTICE HALL POWER INTEGRITY ANALYSIS AND MANAGEMENT I CIRCUITS Raj Nair Donald Bennett PRENTICE HALL Upper Saddle River, NJ Boston Indianapolis San Francisco New York Toronto Montreal London Munich Paris Madrid Capetown

More information

Intro. to PDN Planning PCB Stackup Technology Series

Intro. to PDN Planning PCB Stackup Technology Series Introduction to Power Distribution Network (PDN) Planning Bill Hargin In-Circuit Design b.hargin@icd.com.au 425-301-4425 Intro. to PDN Planning 1. Intro/Overview 2. Bypass/Decoupling Strategy 3. Plane

More information

Minimizing Input Filter Requirements In Military Power Supply Designs

Minimizing Input Filter Requirements In Military Power Supply Designs Keywords Venable, frequency response analyzer, MIL-STD-461, input filter design, open loop gain, voltage feedback loop, AC-DC, transfer function, feedback control loop, maximize attenuation output, impedance,

More information

A Co-design Methodology of Signal Integrity and Power Integrity

A Co-design Methodology of Signal Integrity and Power Integrity DesignCon 2006 A Co-design Methodology of Signal Integrity and Power Integrity Woong Hwan Ryu, Intel Corporation woong.hwan.ryu@intel.com Min Wang, Intel Corporation min.wang@intel.com 1 Abstract As PCB

More information

Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery

Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery Amit K. Jain, Sameer Shekhar, Yan Z. Li Client Computing Group, Intel Corporation

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

Understanding, measuring, and reducing output noise in DC/DC switching regulators

Understanding, measuring, and reducing output noise in DC/DC switching regulators Understanding, measuring, and reducing output noise in DC/DC switching regulators Practical tips for output noise reduction Katelyn Wiggenhorn, Applications Engineer, Buck Switching Regulators Robert Blattner,

More information

Core Technology Group Application Note 6 AN-6

Core Technology Group Application Note 6 AN-6 Characterization of an RLC Low pass Filter John F. Iannuzzi Introduction Inductor-capacitor low pass filters are utilized in systems such as audio amplifiers, speaker crossover circuits and switching power

More information

PART MAX2605EUT-T MAX2606EUT-T MAX2607EUT-T MAX2608EUT-T MAX2609EUT-T TOP VIEW IND GND. Maxim Integrated Products 1

PART MAX2605EUT-T MAX2606EUT-T MAX2607EUT-T MAX2608EUT-T MAX2609EUT-T TOP VIEW IND GND. Maxim Integrated Products 1 19-1673; Rev 0a; 4/02 EVALUATION KIT MANUAL AVAILABLE 45MHz to 650MHz, Integrated IF General Description The are compact, high-performance intermediate-frequency (IF) voltage-controlled oscillators (VCOs)

More information

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems

Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Noise Aware Decoupling Capacitors for Multi-Voltage Power Distribution Systems Mikhail Popovich and Eby G. Friedman Department of Electrical and Computer Engineering University of Rochester, Rochester,

More information

System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing

System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing System Power Distribution Network Theory and Performance with Various Noise Current Stimuli Including Impacts on Chip Level Timing Larry Smith, Shishuang Sun, Peter Boyle, Bozidar Krsnik Altera Corp. Abstract-Power

More information

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

Decoupling capacitor placement

Decoupling capacitor placement Decoupling capacitor placement Covered in this topic: Introduction Which locations need decoupling caps? IC decoupling Capacitor lumped model How to maximize the effectiveness of a decoupling cap Parallel

More information

Research in Support of the Die / Package Interface

Research in Support of the Die / Package Interface Research in Support of the Die / Package Interface Introduction As the microelectronics industry continues to scale down CMOS in accordance with Moore s Law and the ITRS roadmap, the minimum feature size

More information

Chapter 10: Compensation of Power Transmission Systems

Chapter 10: Compensation of Power Transmission Systems Chapter 10: Compensation of Power Transmission Systems Introduction The two major problems that the modern power systems are facing are voltage and angle stabilities. There are various approaches to overcome

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

A Simulation Study of Simultaneous Switching Noise

A Simulation Study of Simultaneous Switching Noise A Simulation Study of Simultaneous Switching Noise Chi-Te Chen 1, Jin Zhao 2, Qinglun Chen 1 1 Intel Corporation Network Communication Group, LOC4/19, 9750 Goethe Road, Sacramento, CA 95827 Tel: 916-854-1178,

More information

P R E F A C E The Focus of This Book xix

P R E F A C E The Focus of This Book xix P REFACE The Focus of This Book Power integrity is a confusing topic in the electronics industry partly because it is not well-defined and can encompass a wide range of problems, each with their own set

More information

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems

Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Practical Limitations of State of the Art Passive Printed Circuit Board Power Delivery Networks for High Performance Compute Systems Presented by Chad Smutzer Mayo Clinic Special Purpose Processor Development

More information

Background (What Do Line and Load Transients Tell Us about a Power Supply?)

Background (What Do Line and Load Transients Tell Us about a Power Supply?) Maxim > Design Support > Technical Documents > Application Notes > Power-Supply Circuits > APP 3443 Keywords: line transient, load transient, time domain, frequency domain APPLICATION NOTE 3443 Line and

More information

Learning the Curve BEYOND DESIGN. by Barry Olney

Learning the Curve BEYOND DESIGN. by Barry Olney by Barry Olney coulmn BEYOND DESIGN Learning the Curve Currently, power integrity is just entering the mainstream market phase of the technology adoption life cycle. The early market is dominated by innovators

More information

Streamlined Design of SiGe Based Power Amplifiers

Streamlined Design of SiGe Based Power Amplifiers ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 13, Number 1, 2010, 22 32 Streamlined Design of SiGe Based Power Amplifiers Mladen BOŽANIĆ1, Saurabh SINHA 1, Alexandru MÜLLER2 1 Department

More information

Negative Differential Resistance (NDR) Frequency Conversion with Gain

Negative Differential Resistance (NDR) Frequency Conversion with Gain Third International Symposium on Space Tcrahertz Technology Page 457 Negative Differential Resistance (NDR) Frequency Conversion with Gain R. J. Hwu, R. W. Aim, and S. C. Lee Department of Electrical Engineering

More information

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi

High Speed Design Issues and Jitter Estimation Techniques. Jai Narayan Tripathi High Speed Design Issues and Jitter Estimation Techniques Jai Narayan Tripathi (jainarayan.tripathi@st.com) Outline Part 1 High-speed Design Issues Signal Integrity Power Integrity Jitter Power Delivery

More information

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks Sanjay Pant, David Blaauw University of Michigan, Ann Arbor, MI Abstract The placement of on-die decoupling

More information

How the Braid Impedance of Instrumentation Cables Impact PI and SI Measurements

How the Braid Impedance of Instrumentation Cables Impact PI and SI Measurements How the Braid Impedance of Instrumentation Cables Impact PI and SI Measurements Istvan Novak (*), Jim Nadolny (*), Gary Biddle (*), Ethan Koether (**), Brandon Wong (*) (*) Samtec, (**) Oracle This session

More information

Decoupling Capacitance

Decoupling Capacitance Decoupling Capacitance Nitin Bhardwaj ECE492 Department of Electrical and Computer Engineering Agenda Background On-Chip Algorithms for decap sizing and placement Based on noise estimation Decap modeling

More information

Application Note 323. Flex Power Modules. Input Filter Design - 3E POL Regulators

Application Note 323. Flex Power Modules. Input Filter Design - 3E POL Regulators Application Note 323 Flex Power Modules Input Filter Design - 3E POL Regulators Introduction The design of the input capacitor is critical for proper operation of the 3E POL regulators and also to minimize

More information

EMI Modeling of a 32-bit Microcontroller in Wait Mode

EMI Modeling of a 32-bit Microcontroller in Wait Mode EMI Modeling of a 32-bit Microcontroller in Wait Mode Jean-Pierre Leca 1,2, Nicolas Froidevaux 1, Henri Braquet 2, Gilles Jacquemod 2 1 STMicroelectronics, 2 LEAT, UMR CNRS-UNS 6071 BMAS 2010 San Jose,

More information

An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation

An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation An Initial Case Study for BIRD95: Enhancing IBIS for SSO Power Integrity Simulation Also presented at the January 31, 2005 IBIS Summit SIGRITY, INC. Sam Chitwood Raymond Y. Chen Jiayuan Fang March 2005

More information

Designing a 960 MHz CMOS LNA and Mixer using ADS. EE 5390 RFIC Design Michelle Montoya Alfredo Perez. April 15, 2004

Designing a 960 MHz CMOS LNA and Mixer using ADS. EE 5390 RFIC Design Michelle Montoya Alfredo Perez. April 15, 2004 Designing a 960 MHz CMOS LNA and Mixer using ADS EE 5390 RFIC Design Michelle Montoya Alfredo Perez April 15, 2004 The University of Texas at El Paso Dr Tim S. Yao ABSTRACT Two circuits satisfying the

More information

Filters And Waveform Shaping

Filters And Waveform Shaping Physics 3330 Experiment #3 Fall 2001 Purpose Filters And Waveform Shaping The aim of this experiment is to study the frequency filtering properties of passive (R, C, and L) circuits for sine waves, and

More information

Non-ideal Behavior of Electronic Components at High Frequencies and Associated Measurement Problems

Non-ideal Behavior of Electronic Components at High Frequencies and Associated Measurement Problems Nonideal Behavior of Electronic Components at High Frequencies and Associated Measurement Problems Matthew Beckler beck0778@umn.edu EE30 Lab Section 008 October 27, 2006 Abstract In the world of electronics,

More information

I1 19u 5V R11 1MEG IDC Q7 Q2N3904 Q2N3904. Figure 3.1 A scaled down 741 op amp used in this lab

I1 19u 5V R11 1MEG IDC Q7 Q2N3904 Q2N3904. Figure 3.1 A scaled down 741 op amp used in this lab Lab 3: 74 Op amp Purpose: The purpose of this laboratory is to become familiar with a two stage operational amplifier (op amp). Students will analyze the circuit manually and compare the results with SPICE.

More information

Systematic Estimation of Worst-Case PDN Noise Target Impedance and Rogue Waves

Systematic Estimation of Worst-Case PDN Noise Target Impedance and Rogue Waves PCB Design 007 QuietPower columns Systematic Estimation of Worst-Case PDN Noise Target Impedance and Rogue Waves Istvan Novak, Oracle, November 2015 In the dark ages of power distribution design, the typical

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping

A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping Jie Gu, Hanyong Eom and Chris H. Kim Department of Electrical and Computer Engineering University of Minnesota, Minneapolis

More information

DesignCon 2017 Characterization of DDR4 Receiver Sensitivity Impact on Post-equalization Eye

DesignCon 2017 Characterization of DDR4 Receiver Sensitivity Impact on Post-equalization Eye DesignCon 2017 Characterization of DDR4 Receiver Sensitivity Impact on Post-equalization Eye Yong Wang, Xilinx Inc. Thomas To, Xilinx Inc. Penglin Niu, Xilinx Inc. Fangyi Rao, Keysight Technologies Juan

More information

Maxim Integrated Products 1

Maxim Integrated Products 1 19-3533; Rev 0; 1/05 MAX9996 Evaluation Kit General Description The MAX9996 evaluation kit (EV kit) simplifies the evaluation of the MAX9996 UMTS, DCS, and PCS base-station downconversion mixer. It is

More information

SINCE the performance of personal computers (PCs) has

SINCE the performance of personal computers (PCs) has 334 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 5, MAY 2010 Multi-Slot Main Memory System for Post DDR3 Jaejun Lee, Sungho Lee, and Sangwook Nam, Member, IEEE Abstract This

More information

DesignCon Effect of Power Plane Inductance on Power Delivery Networks. Shirin Farrahi, Cadence Design Systems

DesignCon Effect of Power Plane Inductance on Power Delivery Networks. Shirin Farrahi, Cadence Design Systems DesignCon 2019 Effect of Power Plane Inductance on Power Delivery Networks Shirin Farrahi, Cadence Design Systems shirinf@cadence.com, 978-262-6008 Ethan Koether, Oracle Corp ethan.koether@oracle.com Mehdi

More information

System Co-Design and Co-Analysis Approach to Implementing the XDR Memory System of the Cell Broadband Engine Processor

System Co-Design and Co-Analysis Approach to Implementing the XDR Memory System of the Cell Broadband Engine Processor System Co-Design and Co-Analysis Approach to Implementing the XDR Memory System of the Cell Broadband Engine Processor Realizing 3.2 Gbps Data Rate per Memory Lane in Low Cost, High Volume Production Wai-Yeung

More information

Ensuring Signal and Power Integrity for High-Speed Digital Systems

Ensuring Signal and Power Integrity for High-Speed Digital Systems Ensuring Signal and Power Integrity for High-Speed Digital Systems An EMC Perspective Christian Schuster Institut für Theoretische Elektrotechnik Technische Universität Hamburg-Harburg (TUHH) Invited Presentation

More information

This chapter discusses the design issues related to the CDR architectures. The

This chapter discusses the design issues related to the CDR architectures. The Chapter 2 Clock and Data Recovery Architectures 2.1 Principle of Operation This chapter discusses the design issues related to the CDR architectures. The bang-bang CDR architectures have recently found

More information

Di/dt Mitigation Method in Power Delivery Design & Analysis

Di/dt Mitigation Method in Power Delivery Design & Analysis Di/dt Mitigation Method in Power Delivery Design & Analysis Delino Julius Thao Pham Fattouh Farag DAC 2009, San Francisco July 27, 2009 Outlines Introduction Background di/dt Mitigation Modeling di/dt

More information

PDN Application of Ferrite Beads

PDN Application of Ferrite Beads PDN Application of Ferrite Beads 11 TA3 Steve Weir CTO IPBLOX, LLC 1 Objectives Understand ferrite beads with a good model Understand PDN design w/ sensitive loads Understand how to determine when a ferrite

More information

Optimizing On Die Decap in a System at Early Stage of Design Cycle

Optimizing On Die Decap in a System at Early Stage of Design Cycle Optimizing On Die Decap in a System at Early Stage of Design Cycle Naresh Dhamija Pramod Parameswaran Sarika Jain Makeshwar Kothandaraman Praveen Soora Disclaimer: The scope of approach presented is limited

More information

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader

Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Effect of Power Distribution Network Design on RF circuit performance for 900MHz RFID Reader Youngwon Kim, Chunghyun Ryu, Jongbae Park, and Joungho Kim Terahertz Interconnection and Package Laboratory,

More information

Experiment 2: Transients and Oscillations in RLC Circuits

Experiment 2: Transients and Oscillations in RLC Circuits Experiment 2: Transients and Oscillations in RLC Circuits Will Chemelewski Partner: Brian Enders TA: Nielsen See laboratory book #1 pages 5-7, data taken September 1, 2009 September 7, 2009 Abstract Transient

More information

CHAPTER 4. Practical Design

CHAPTER 4. Practical Design CHAPTER 4 Practical Design The results in Chapter 3 indicate that the 2-D CCS TL can be used to synthesize a wider range of characteristic impedance, flatten propagation characteristics, and place passive

More information

NOWADAYS, multistage amplifiers are growing in demand

NOWADAYS, multistage amplifiers are growing in demand 1690 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 51, NO. 9, SEPTEMBER 2004 Advances in Active-Feedback Frequency Compensation With Power Optimization and Transient Improvement Hoi

More information

Impact of the Output Capacitor Selection on Switching DCDC Noise Performance

Impact of the Output Capacitor Selection on Switching DCDC Noise Performance Impact of the Output Capacitor Selection on Switching DCDC Noise Performance I. Introduction Most peripheries in portable electronics today tend to systematically employ high efficiency Switched Mode Power

More information

Development and Validation of a Microcontroller Model for EMC

Development and Validation of a Microcontroller Model for EMC Development and Validation of a Microcontroller Model for EMC Shaohua Li (1), Hemant Bishnoi (1), Jason Whiles (2), Pius Ng (3), Haixiao Weng (2), David Pommerenke (1), and Daryl Beetner (1) (1) EMC lab,

More information

CHAPTER 4 MEASUREMENT OF NOISE SOURCE IMPEDANCE

CHAPTER 4 MEASUREMENT OF NOISE SOURCE IMPEDANCE 69 CHAPTER 4 MEASUREMENT OF NOISE SOURCE IMPEDANCE 4.1 INTRODUCTION EMI filter performance depends on the noise source impedance of the circuit and the noise load impedance at the test site. The noise

More information

Practical Testing Techniques For Modern Control Loops

Practical Testing Techniques For Modern Control Loops VENABLE TECHNICAL PAPER # 16 Practical Testing Techniques For Modern Control Loops Abstract: New power supply designs are becoming harder to measure for gain margin and phase margin. This measurement is

More information

Application of Generalized Scattering Matrix for Prediction of Power Supply Noise

Application of Generalized Scattering Matrix for Prediction of Power Supply Noise Application of Generalized Scattering Matrix for Prediction of Power Supply Noise System Level Interconnect Prediction 2010 June 13, 2010 K. Yamanaga (1),K. Masu (2), and T. Sato (3) (1) Murata Manufacturing

More information

Keysight Technologies Pulsed Antenna Measurements Using PNA Network Analyzers

Keysight Technologies Pulsed Antenna Measurements Using PNA Network Analyzers Keysight Technologies Pulsed Antenna Measurements Using PNA Network Analyzers White Paper Abstract This paper presents advances in the instrumentation techniques that can be used for the measurement and

More information

Automotive PCB SI and PI analysis

Automotive PCB SI and PI analysis Automotive PCB SI and PI analysis SI PI Analysis Signal Integrity S-Parameter Timing analysis Eye diagram Power Integrity Loop / Partial inductance DC IR-Drop AC PDN Impedance Power Aware SI Signal Integrity

More information

IBIS Data for CML,PECL and LVDS Interface Circuits

IBIS Data for CML,PECL and LVDS Interface Circuits Application Note: HFAN-06.2 Rev.1; 04/08 IBIS Data for CML,PECL and LVDS Interface Circuits AVAILABLE IBIS Data for CML,PECL and LVDS Interface Circuits 1 Introduction The integrated circuits found in

More information

ISSCC 2004 / SESSION 21/ 21.1

ISSCC 2004 / SESSION 21/ 21.1 ISSCC 2004 / SESSION 21/ 21.1 21.1 Circular-Geometry Oscillators R. Aparicio, A. Hajimiri California Institute of Technology, Pasadena, CA Demand for faster data rates in wireline and wireless markets

More information

Target Impedance and Rogue Waves

Target Impedance and Rogue Waves TITLE Target Impedance and Rogue Waves Larry Smith (Qualcomm) Image Target Impedance and Rogue Waves Larry Smith (Qualcomm) Larry Smith Principal Power Integrity Engineer, Qualcomm Larrys@qti.qualcomm.com

More information

Basic Concepts C HAPTER 1

Basic Concepts C HAPTER 1 C HAPTER 1 Basic Concepts Power delivery is a major challenge in present-day systems. This challenge is expected to increase in the next decade as systems become smaller and new materials are introduced

More information

EVALUATION KIT AVAILABLE 10MHz to 1050MHz Integrated RF Oscillator with Buffered Outputs. Typical Operating Circuit. 10nH 1000pF MAX2620 BIAS SUPPLY

EVALUATION KIT AVAILABLE 10MHz to 1050MHz Integrated RF Oscillator with Buffered Outputs. Typical Operating Circuit. 10nH 1000pF MAX2620 BIAS SUPPLY 19-1248; Rev 1; 5/98 EVALUATION KIT AVAILABLE 10MHz to 1050MHz Integrated General Description The combines a low-noise oscillator with two output buffers in a low-cost, plastic surface-mount, ultra-small

More information

Switch-less Dual-frequency Reconfigurable CMOS Oscillator using One Single Piezoelectric AlN MEMS Resonator with Co-existing S0 and S1 Lamb-wave Modes

Switch-less Dual-frequency Reconfigurable CMOS Oscillator using One Single Piezoelectric AlN MEMS Resonator with Co-existing S0 and S1 Lamb-wave Modes From the SelectedWorks of Chengjie Zuo January, 11 Switch-less Dual-frequency Reconfigurable CMOS Oscillator using One Single Piezoelectric AlN MEMS Resonator with Co-existing S and S1 Lamb-wave Modes

More information

Signal integrity means clean

Signal integrity means clean CHIPS & CIRCUITS As you move into the deep sub-micron realm, you need new tools and techniques that will detect and remedy signal interference. Dr. Lynne Green, HyperLynx Division, Pads Software Inc The

More information

Highly Efficient Resonant Wireless Power Transfer with Active MEMS Impedance Matching

Highly Efficient Resonant Wireless Power Transfer with Active MEMS Impedance Matching Highly Efficient Resonant Wireless Power Transfer with Active MEMS Impedance Matching Bernard Ryan Solace Power Mount Pearl, NL, Canada bernard.ryan@solace.ca Marten Seth Menlo Microsystems Irvine, CA,

More information

Wireless Power Transfer. CST COMPUTER SIMULATION TECHNOLOGY

Wireless Power Transfer. CST COMPUTER SIMULATION TECHNOLOGY Wireless Power Transfer Some History 1899 - Tesla 1963 - Schuder 1964 - Brown from Garnica et al. (2013) from Schuder et al. (1963) from Brown (1964) Commercialization 1990s onward: mobile device charging

More information

EUA2011A. Low EMI, Ultra-Low Distortion, 2.5-W Mono Filterless Class-D Audio Power Amplifier DESCRIPTION FEATURES APPLICATIONS

EUA2011A. Low EMI, Ultra-Low Distortion, 2.5-W Mono Filterless Class-D Audio Power Amplifier DESCRIPTION FEATURES APPLICATIONS Low EMI, Ultra-Low Distortion, 2.5-W Mono Filterless Class-D Audio Power Amplifier DESCRIPTION The EUA2011A is a high efficiency, 2.5W mono class-d audio power amplifier. A new developed filterless PWM

More information

An Oscillator Scheme for Quartz Crystal Characterization.

An Oscillator Scheme for Quartz Crystal Characterization. An Oscillator Scheme for Quartz Crystal Characterization. Wes Hayward, 15Nov07 The familiar quartz crystal is modeled with the circuit shown below containing a series inductor, capacitor, and equivalent

More information

Keywords: ISM, RF, transmitter, short-range, RFIC, switching power amplifier, ETSI

Keywords: ISM, RF, transmitter, short-range, RFIC, switching power amplifier, ETSI Maxim > Design Support > Technical Documents > Application Notes > Wireless and RF > APP 4929 Keywords: ISM, RF, transmitter, short-range, RFIC, switching power amplifier, ETSI APPLICATION NOTE 4929 Adapting

More information

Frequency-Domain Characterization of Power Distribution Networks

Frequency-Domain Characterization of Power Distribution Networks Frequency-Domain Characterization of Power Distribution Networks Istvan Novak Jason R. Miller ARTECH H O U S E BOSTON LONDON artechhouse.com Preface Acknowledgments xi xv CHAPTER 1 Introduction 1 1.1 Evolution

More information

IC Decoupling and EMI Suppression using X2Y Technology

IC Decoupling and EMI Suppression using X2Y Technology IC Decoupling and EMI Suppression using X2Y Technology Summary Decoupling and EMI suppression of ICs is a complex system level engineering problem complicated by the desire for faster switching gates,

More information

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration

A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.4, DECEMBER, 2006 281 A 10-GHz CMOS LC VCO with Wide Tuning Range Using Capacitive Degeneration Tae-Geun Yu, Seong-Ik Cho, and Hang-Geun Jeong

More information

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

Fully Integrated Switched-Capacitor DC-DC Conversion

Fully Integrated Switched-Capacitor DC-DC Conversion Fully Integrated Switched-Capacitor DC-DC Conversion Elad Alon In collaboration with Hanh-Phuc Le, Seth Sanders Berkeley Wireless Research Center University of California, Berkeley Multi-Core Chips Are

More information

The steeper the phase shift as a function of frequency φ(ω) the more stable the frequency of oscillation

The steeper the phase shift as a function of frequency φ(ω) the more stable the frequency of oscillation It should be noted that the frequency of oscillation ω o is determined by the phase characteristics of the feedback loop. the loop oscillates at the frequency for which the phase is zero The steeper the

More information

Custom Interconnects Fuzz Button with Hardhat Test Socket/Interposer 1.00 mm pitch

Custom Interconnects Fuzz Button with Hardhat Test Socket/Interposer 1.00 mm pitch Custom Interconnects Fuzz Button with Hardhat Test Socket/Interposer 1.00 mm pitch Measurement and Model Results prepared by Gert Hohenwarter 12/14/2015 1 Table of Contents TABLE OF CONTENTS...2 OBJECTIVE...

More information

EXPERIMENT 8: LRC CIRCUITS

EXPERIMENT 8: LRC CIRCUITS EXPERIMENT 8: LRC CIRCUITS Equipment List S 1 BK Precision 4011 or 4011A 5 MHz Function Generator OS BK 2120B Dual Channel Oscilloscope V 1 BK 388B Multimeter L 1 Leeds & Northrup #1532 100 mh Inductor

More information

An Enhanced Design Methodology for Resonant Clock. Trees

An Enhanced Design Methodology for Resonant Clock. Trees An Enhanced Design Methodology for Resonant Clock Trees Somayyeh Rahimian, Vasilis Pavlidis, Xifan Tang, and Giovanni De Micheli Abstract Clock distribution networks consume a considerable portion of the

More information

AN643. Si446x/Si4362 RX LNA Matching. 1. Introduction. 2. Match Network Topology Three-Element Match Network

AN643. Si446x/Si4362 RX LNA Matching. 1. Introduction. 2. Match Network Topology Three-Element Match Network Si446x/Si4362 RX LNA Matching 1. Introduction The purpose of this application note is to provide a description of the impedance matching of the RX differential low noise amplifier (LNA) on the Si446x/Si4362

More information

BANDPASS delta sigma ( ) modulators are used to digitize

BANDPASS delta sigma ( ) modulators are used to digitize 680 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 52, NO. 10, OCTOBER 2005 A Time-Delay Jitter-Insensitive Continuous-Time Bandpass 16 Modulator Architecture Anurag Pulincherry, Michael

More information

Introduction to Electromagnetic Compatibility

Introduction to Electromagnetic Compatibility Introduction to Electromagnetic Compatibility Second Edition CLAYTON R. PAUL Department of Electrical and Computer Engineering, School of Engineering, Mercer University, Macon, Georgia and Emeritus Professor

More information

Appendix. RF Transient Simulator. Page 1

Appendix. RF Transient Simulator. Page 1 Appendix RF Transient Simulator Page 1 RF Transient/Convolution Simulation This simulator can be used to solve problems associated with circuit simulation, when the signal and waveforms involved are modulated

More information

Methodology for testing a regulator in a DC/DC Buck Converter using Bode 100 and SpCard

Methodology for testing a regulator in a DC/DC Buck Converter using Bode 100 and SpCard Methodology for testing a regulator in a DC/DC Buck Converter using Bode 100 and SpCard J. M. Molina. Abstract Power Electronic Engineers spend a lot of time designing their controls, nevertheless they

More information

Characterization of Alternate Power Distribution Methods for 3D Integration

Characterization of Alternate Power Distribution Methods for 3D Integration Characterization of Alternate Power Distribution Methods for 3D Integration David C. Zhang, Madhavan Swaminathan, David Keezer and Satyanarayana Telikepalli School of Electrical and Computer Engineering,

More information

Application Note 0009

Application Note 0009 Recommended External Circuitry for Transphorm GaN FETs Application Note 9 Table of Contents Part I: Introduction... 2 Part II: Solutions to Suppress Oscillation... 2 Part III: The di/dt Limits of GaN Switching

More information