Ultrascale DDR4 De-emphasis and CTLE Feature Optimization with Statistical Engine for BER Specification

Size: px
Start display at page:

Download "Ultrascale DDR4 De-emphasis and CTLE Feature Optimization with Statistical Engine for BER Specification"

Transcription

1 DesignCon 2015 Ultrascale DDR4 De-emphasis and CTLE Feature Optimization with Statistical Engine for BER Specification Penglin Niu, Xilinx Inc Fangyi Rao, Keysight Technologies Juan Wang, Xilinx Inc Gary Otonari, Keysight Technologies Nilesh Kamdar, Keysight Technologies Yong Wang, Xilinx Inc

2 Abstract Effects of driver de-emphasis and receiver continuous time linear equalizer (CTLE) are investigated on a FPGA DDR4 2400Mbps memory system. Results show that de-emphasis and CTLE are effective techniques to mitigate inter-symbol-interference (ISI), increase margins and improve tolerance to driver slew rate. A highly efficient yet accurate approach is presented to calculate DQ eye opening at the extremely low bit-error-rate (BER) target of specified in DDR4. The approach employs statistical methods to directly compute eye probability distributions and BER. ISI, crosstalk and asymmetric edges are taken into account in the calculations. Timing margins are measured for design verification and optimization. Author(s) Biography Penglin Niu is an engineer manager at Xilinx. Her team is responsible for SI/PI modeling methodology, and product SSN and PDN analysis. She was the signal integrity lead for memory interface in Xilinx before the management position. Prior to Xilinx, she worked for Intel as signal integrity lead and package design lead. She was deeply involved in high speed DDR3/DDR3L system design and high performance CPU package design. Penglin received her Ph.D. degree from University of Illinois Urbana-Champaign, and M.S. degree from University of Missouri-Rolla. Fangyi Rao is a master engineer at Keysight Technologies. He received his Ph.D. degree in theoretical physics from Northwestern University. He joined Agilent EEsof in 2006 and works on Analog/RF and SI simulation technologies in ADS and RFDE. From 2003 to 2006 he was with Cadence Design Systems, where he developed the company's Harmonic Balance technology and perturbation analysis of nonlinear circuits. Prior to 2003 he worked in the areas of EM simulation, nonlinear device modeling, and medical imaging. Juan Wang is a Staff Signal Integrity engineer at Xilinx Inc. She has been focusing on memory interface timing analysis such as DDR4/DDR3/RLDRAM3 and corresponding lab verification. Prior to Xilinx, she worked for Juniper as signal integrity engineer for more than 5 years supporting system design 10GE/XFI/XLAUI/SFI/sGMII/rGMII/PCIE/DDR3 signal integrity modeling, simulation and measurements. Juan received her MSEE from University of Missouri-Rolla and Tsinghua University. Gary Otonari is a Signal Integrity and Power Integrity engineer with 25 years of experience in high frequency and high speed hardware design. He received his BSEE from UCLA and worked at Hughes Aircraft as a satellite communications RF payload engineer. Mr. Otonari worked for EEsof Inc., GigaTest Labs and Sigrity Inc (now Cadence) in a variety of positions related to EDA, Signal Integrity and Power Integrity design. He has published numerous technical papers on measurement and simulation topics. He is currently Account Manager for Keysight Technologies in Silicon Valley.

3 Nilesh Kamdar is District Manager, Applications Engineering, Western Region at Keysight Technologies. He has over 15 years of experience working on high frequency and high speed digital design. He has published various technical papers on Signal and Power Integrity designs. Previously, Mr. Kamdar was Senior Applications Engineer at Agilent EEsof and before that he managed the Simulation Architecture team at Agilent EEsof. He received his Masters of Science degree in Electrical Engineering from Utah State University in Yong Wang is currently Director of Engineering at Xilinx leading Device Power and Signal Integrity Group since His team owns Xilinx product families SI/PI methodology development, noise/timing/jitter analysis, interface timing such as DDR4/3, and corresponding verification/characterization. Prior to joining Xilinx, Mr. Yong Wang has been system design lead and SI/PI lead of several companies such as NVIDIA, MetaRAM, HP/Intel. He led the world first 16GB and 32GB R-DIMM design, validation and production with patented memory buffer ASIC design when he was system lead with MetaRAM. In NVIDIA/HP/Intel, he provided technical leadership in the areas such as but not limited to, IA-64 system front-side parallel bus channel timing, serial link channel analysis, system level power modeling, on-die power grid noise/timing analysis and timing/noise validation in the lab. Mr. Yong Wang received his M.S. degree in Electrical Engineering from Colorado State University and B.S. degree in Electrical Engineering from Peking University. Mr. Yong Wang has 21 US patents issued and several publications including best paper rewards in conferences like EPEP and ECTC.

4 1. Introduction DDR4 offers a variety of advantages over DDR3, including higher data rate, reduced power consumption, Vref training and additional control of on-die termination (ODT). Meanwhile, as DDR4 speed moves upwards closer to first generation SERDES, it poses new challenges to system designers. At higher I/O speeds, system will experience higher loss; timing margin, at the same time, becomes tighter. Comparing to an 1866Mbps DDR4 system, a 2400Mbps DDR4 system s unit interval (UI) shrinks 120ps from 536ps to 416ps. On the other hand, inter-symbol interference (ISI) has been a major contribution to signal distortion and system margin degradation. For the same channel, higher system speed will intensify ISI effect and bring more jitter into system. To demonstrate the amplified ISI effect over speed, an example DDR4 channel was setup with all crosstalk disabled, the system was then tested at 1866Mbps and 2400Mbps. Figure 1 (a) and (b) shows the write path data eye diagram of the system at 1866Mbps and 2400Mbps. It is clearly shown that ISI has significantly distorted eye opening at higher speed. Figure 1 Example DDR4 channel Data eye diagram (a) at 1866Mbps, (b) at 2400Mbps Comparing to ASICs, FPGA memory systems face even more challenges. FPGAs are flexible in the I/O configuration aspect; IOs are programmable to different I/O standards. The I/O standards covered by FPGAs includes: DDR3, DDR3L, DDR4, LPDDR2, LPDDR3, RLDRAM3, QDR2+, and QDR4. This has caused much larger I/O pad capacitance. And consequently slows down the signal edge rate on both write and read direction. Moreover, FPGAs are generally designed with large amount of I/Os ranging from hundreds to thousands. Figure 2 shows the package pin out of an Ultrascale vertex product. This FPGA unit is capable of driving five 72-bit memory system. FPGA package form factor, on the other hand, is limited by cost and mechanical considerations, resulting in high density signal routing and high signal to ground ratio. While ACISs system designers are pushing 2:1 to 4:1 ratios in their package design to improve signal quality, 10:1 signal to ground ratio

5 has been commonly used in FPGA packages. Together, high I/O count and high signal to ground ratio contribute to higher crosstalk in an FPGA I/O system, making it even more critical to control ISI channel effects. Figure 2 Pin map of an example Ultrascale Vertex FPGA product With challenges from higher I/O speed of DDR4 system and FPGA design, signal enhancement techniques becomes necessary to mitigate timing and electrical impairments. Equalization techniques have been widely utilized on serial buses ISI effect compensation, and have been proven to improve system timing margin. Ultrascale DDR4 system has enabled configurable transmitter (Tx) de-emphasis and multi-level active receiver (Rx) continuous time linear equalization (CTLE). As memory channel configuration can differ from user to user, channel characteristic varies greatly and therefore requiring robust equalization design. Extensive channel simulation is required for equalization optimization and channel margin quantification. Traditional bit-by-bit mode simulation is no longer possible for JEDEC DDR4 BER mask definition. In this paper, a statistical approach is first presented for accurate calculation of eye opening at arbitrarily low BER. Then optimization of de-emphasis and CTLE for a FPGA 2400Mbps DDR4 system is elaborated.

6 2. Statistical Approach for BER Eye Opening Calculation At high speeds it is no longer adequate to estimate the worst case margins using a limited number of bits. Moreover, random jitter and noise become non-negligible in DDR4. To address design uncertainty caused by ISI, RJ and noise, JEDEC introduces the DQ receiver compliance mask at BER in the DDR4 spec [1]. As shown in Figure 3 the mask consists of deterministic and random portions. All DQ eyes are required to have BER lower than inside the total mask. With the Rx mask designers can optimize design margins while maintaining sufficiently low BER, hence avoiding overly conservative designs without risking system reliability. Figure 3 DDR4 DQ Rx mask definition. The introduction of the Rx mask indicates a paradigm shift in the DDR design methodology from step-hold centric to BER centric. It also poses a new challenge to simulation technologies. In the traditional time-domain approach, to determine DQ eye opening at BER, at least bits must be simulated, which is impractical. New simulation techniques are needed to design against the BER mask spec. Based on the linear time invariant assumption, the statistical approach directly computes eye probability distributions and BER without running an actual bit sequence. Results are equivalent to those of simulating infinite number of bits, allowing accurate BER prediction in a very short amount of time. With this approach, ISI and crosstalk are captured by channel step responses characterized using Spice simulations; and rise and fall edges are characterized separately to account for asymmetric pull-up and pull-down. Equal BER contours at target BER, e.g , are plotted for DQ lines. Margins between the contour and

7 the Rx mask are measured. For a typical 8-bit byte lane, the simulation time is only a few minutes and scales linearly with the number of DQ lines. With its superior simulation speed, the statistical method provides a highly efficient way to verify and optimize DDR4 system performance. 2.1 Linear Superposition Method In statistical eye simulations the channel is assumed to be linear and time invariant (LTI). Consider a transmit data pattern defined by a series of rise-fall pulse edge index pair {(n r (i), n f (i))} as shown in Figure 4, where i is the pulse index, n r (i) the bit index of the i-th pulse s rise edge, and n f (i) the bit index of the i-th pulse s fall edge. In a LTI channel, the output voltage, v(t), can be calculated from the superposition of channel step responses as [2] R [ t nr ( i) T ( nr ( i))] F[ t n f ( i) T ( n f ( i))] 0 v( t) v (1) i where R(t) is the rise edge step response, F(t) the fall edge step response, T the unit interval (UI), v 0 a constant and (n) the transmit jitter at the n-th bit. The Tx jitter consists of clock duty-cycle-distortion (DCD), data DCD, periodic jitter (PJ) and random jitter (RJ) and is modeled as τ(n r ) = DCD pp data 2 τ(n f ) = DCD pp data 2 ( 1) nr DCDpp clk + Asin(2πfn 2 r T + φ) + ρ(n r ) (2.1) ( 1) nf DCDpp clk + Asin(2πfn 2 f T + φ) + ρ(n f ) (2.2) data clk where DCD pp is the peak-to-peak data DCD, DCD pp the peak-to-peak clock DCD, A the PJ amplitude, f the PJ frequency, the PJ phase offset, and (n) the uncorrelated RJ at the n- th bit. th i pulse n r ( i) T n f ( i) T ideal edge ( ( i)) ( ( i)) n r n f Figure 4 Transmitter jitter model used in statistical eye probability calculation.

8 In a DQ line whose step responses settle after M UIs, the output at time t depends on the pattern of M bits around t, and there are 2 M possible patterns. For the m-th pattern, the output is v R [ t nr ( i) T ( nr ( i))] F[ t n f ( i) T ( n f ( i))] 0 ( t) v (3) i where n r (m) (i) and nf (m) (i) denote rise and fall edge bit indices of the i-th pulse in the m-th pattern, respectively. It should be pointed out that effects of Tx de-emphasis and Rx CTLE can be included by applying the equalizations to step responses R(t) and F(t). 2.2 Statistical Eye Probability Calculation Given an independent random variable x with probability density function (PDF) p(x), the PDF of a dependent variable y=f(x) is given by p(y) = p(x) dy/dx Equation 4 can be rewritten into a more general form. p(y) = p(x)δ[y f(x)]dx where is the Dirac delta function. For discrete random variable q, eq. 5 yields (4) (5) p(y) = P(q i )δ[y f(q i )] i (6) where {q i } are the discrete values of q and P(q i ) the probability of q at q i. For multiple independent variables x 1,,x n with PDF p(x 1,,x n ) and dependent variable y=f(x 1,,x n ), eq. 5 becomes p(y) = p(x 1,, x n )δ[y f(x 1,, x n )] dx 1 dx n (7) Equation 7 can be applied to calculate the channel output PDF with the presence of RJ. Combining eq. 3 and eq. 7 yields the PDF of the m-th pattern as p ( v, t) [ v v ( t)] g[ ( n ( i))] g[ ( n ( i))] d ( n ( i)) d ( n ( i)) (8) i r where g( ) is the RJ PDF, which is assumed to be a Gaussian in simulation. The output eye PDF is given by averaging over all patterns and all PJ phases. f M p ( v, t) d p ( v, t) (9) 2 0 M 2 m 1 r f

9 Note that in eq. 8 the Tx jitter affects the output distribution through channel step responses and its effect is directly handled in the PDF calculation instead of being added to the final eye in post-processing by PDF convolution. As a result, channel modulation and amplification on Tx jitter are taken into account [3-5]. A brute-force evaluation of eq. 8 and eq. 9 is impractical as the computation cost is in the order of O(2 M ). To overcome the complexity, an efficient algorithm is employed to carry out the computations, and the cost scales linearly with M [2,6]. The calculation is rigorous and without such approximation as step response linearization or low probability extrapolation, yielding accurate eye distributions at any BER level. According to the JEDEC Spec [1], Rx jitter and noise are accounted for in the mask as shown in Figure 2. However, extra Rx jitter, if needed, can be added by convolving the jitter PDF with p(v,t) along the time axis. Similarly, extra Rx noise, if needed, can be included by convolving the noise PDF with p(v,t) along the voltage axis. Crosstalk is treated as additive noise to the victim signal. Equations 8 and 9 can be used to compute crosstalk noise PDFs with step responses from aggressors to the victim. The crosstalk effect on the victim eye is calculated by convolving the victim PDF, p victim, with crosstalk PDFs, p (i) xtlk, along the voltage axis. p( v, t) p ( v v dv (10) victim (1) (2) ( n) 1 v2 vn, t) pxtlk ( v1, t) pxtlk ( v2, t) pxtlk ( vn, t) dv1dv2 n 2.3 Timing and Voltage Margin Measurement From eye probability distributions the equal BER contour at target BER is constructed, and its margins against the Rx mask is measured at each mask corner as demonstrated in Figure 5. In addition, to capture ring back, minimum voltage margins along upper and lower mask edges are computed.

10 voltage margin minimum voltage margin equal BER contour timing margin Rx mask minimum voltage margin Figure 5 Timing and voltage margin measurements against Rx mask. 3. Equalization Optimization for a FPGA 2400Mbps DDR4 System With the statistical DDR4 simulation engine, large amount of quantification and optimization simulation can be carried out in a relatively short period of time, enabling effective system design. For FPGAs, user configuration is versatile, thus system design optimization has to cover a large range of design space. This section will focus on optimization of FPGA DDR4 equalizations. 3.1 CTLE Optimization The idealized CTLE works by boosting energy in frequency that was attenuated by the channel. The design target is to compensate the channel ISI loss to restore waveform distortion. A generalized active CTLE topology and design parameters are shown in Figure 6 [7]. The CTLE parameters are zero (fz), first pole (fp1), second pole (fp2), dc gain (Gain_dc), and peaking. In the statistical engine, CTLE is modeled as a pole-zero mathematical function with four independent parameters: fz, fp1, fp2, and Gain_dc. (s w_zero) H CTLE (s) c (s w_pole1)( s w _ pole2) w_pole1* w _ pole2 c Gain _ dc w_zero (11)

11 Figure 6 Generalized active CTLE schematic and parameters [7] For design optimization, design parameters are swept for sensitivity study within the design limits. As one of the most critical parameter, fz is first studied on two channels. Figure 7 shows the eye width response to CTLE zero frequency for two different package designs. From the study, even though the absolute eye width is different between the two package designs, the two systems showed similar response trend to CTLE. As the zero frequency increases from 100Mhz to 300Mhz, system margin increases very fast with the zero frequency; from 300Mhz to 600Mhz, margin increase slowly with zero frequency; while after 600Mhz, system margin saturates with increased zero frequency. Figure 7 BER eye width from CTLE zero frequency sweep for two difference package designs

12 Next, by holding the fz at fixed value, fp1, fp2, and Gain_dc are swept for their timing margin sensitivity. Figure 8 (a) and (b) shows eye width surface plot from fp1 and Gain_dc at different bandwidth (fp2). Figure 8 (a) BER eye width from fp1 and gain_dc at 4.5GHz bandwidth (fp2) Figure 8 (b) BER eye width from fp1 and gain_dc at 6GHz bandwidth (fp2) At both bandwidth levels, fp1 shows less sensitivity to the eye width; while dc gain value has higher impact on the eye opening. Based on the CTLE parameter sensitivity study and system timing requirement, design range for each parameter can be identified and used for implementation. Four levels of CTLE design was derived from circuit implementation.

13 Each level of CTLE was then separately plugged into DDR4 channel simulation; the best performed setting is recommended for the design space. However, all four level of CTLE will be available for customer configuration. This is to ensure that a robust solution can be available for the customer whose design falls outside of the recommended design space. Figure 9 shows a FPGA 2400Mbps DDR4 read path statistical eye diagram of the worst case channel with and without optimized CTLE at BER. Without CTLE, channel loss, ISI, and crosstalk have caused a small eye opening at the receiver end. With the implementation of optimized CTLE, significant improvement on eye opening is achieved. Over 70ps margin improvement from CTLE has been observed in various DDR4 channel study, which has proven CTLE as an important enabler for FPGA DDR4 high speed system. Figure 9 Eye diagram of with and without optimized CTLE 3.2 De-emphasis Optimization Another equalization feature that has been commonly used on serial buses is transmitter deemphasis. De-emphasis can mitigate ISI effect by suppressing low frequency component at transmitter. When a bit transition 1-0 or 0-1 happens, the voltage swing is kept at the regular level; while in a continuous bit sequence 111 or 000, the voltage swing starting the second continuous bit is reduced [8]. Figure 10 shows the output waveform of a DDR4 2400Mbps driver with one tap de-emphasis. De-emphasis db level is defined as 20*log(Vde/Vpre).

14 Figure 10 One tap DDR4 de-emphasis waveform As single ended signals, DDR4 de-emphasis is designed differently from serial bus deemphasis. DDR4 driver output high and low levels are a function of both driver strength and on die termination (ODT) value. In order to reduce the swing on the second consecutive bit, dedicated de-emphasis branch is needed for both pull up and pull down transition. However, the de-emphasis strength, db level, will not change with ODT setting; it is fully determined by driver design. In the statistical simulation, DDR de-emphasis is incorporated as a mathematical modeled with db as the variable. Channel response varies with channel topology. Thus, different channel will respond differently to the de-emphasis. To best utilize the benefit of the equalization, de-emphasis db level need to be optimized. First, the worst case channel is identified from the design space. As slower driver slew rate has been a major contribution to the FPGA DDR4 write path margin degradation, optimization analysis is carried out by sweeping driver rise time and de-emphasis strength. Figure 11 shows the BER eye width of a DDR4 2400Mbps system from driver rise time and de-emphasis strength. From the plot, for some rise time, multiple de-emphasis strength will help to create equal sized eye opening. Relationship between eye width and de-emphasis strength is not pure linearly increasing. Based on the study, the optimal de-emphasis strength range can be identified within the driver rise time range and sent to circuit team for implementation. The optimal strength is then plugged back into simulation for margin improvement verification in the design space.

15 Figure 11 BER eye width from Tx rise time and de-emphasis post cursor sweep Figure 12 shows an example FPGA DDR4 2400Mbps system write eye width with and without De-emphasis. The measured statistical BER eye width at Vref +/-68mV has 10-20ps improvement with de-emphasis enabled. Figure 12 DDR4 2400Mpbs system write eye width with and and without de-emphasis 4. Conclusion In this paper, a statistical simulation engine is introduced for designing DDR4 system to JEDEC BER target. The approach employs statistical methods to directly compute eye probability distributions and BER. ISI, crosstalk and asymmetric edges are taken into account in the calculations. With the approach, Effects of driver de-emphasis and receiver continuous time linear equalizer (CTLE) on DDR4 timing at BER target of are investigated. It is clearly shown that de-emphasis and CTLE are effective techniques to mitigate jitter and achieve DDR4 design target after optimization.

16 REFERENCE [1] JEDEC DDR4 Standard, Sept [2] F. Rao, V. Borich, H. Abebe and M. Yan, Rigorous modeling of transmit jitter for accurate and efficient statistical eye simulation, IEC DesignCon, Feb [3] S. Chaudhuri, W. Anderson, J. McCall, and S. Dabrai, Jitter amplification characterization of passive clock channels at 6.4 and 9.6 Gb/s, Proc. IEEE 15 th Topical Meeting on Electric Performance of Electronic Packaging, Scottsdale, AZ, Oct. 2006, pp [4] C. Madden, S. Chang, D. Oh and C. Yuan, Jitter Amplification Considerations for PCB Clock Channel Design, IEEE 16 th Topical Meeting on Electr. Performance Electron. Packag., Atlanta, GA, pp , Oct [5] F. Rao and S. Hindi, Frequency domain analysis of jitter amplification in clock channels, Proc. IEEE 21 th Topical Meeting on Electric Performance of Electronic Packaging, Tempe, AZ, Oct. 2012, pp [6] Casper, M. Haycock, R. Mooney, An accurate and efficient analysis method for multi- Gb/s chip-to-chip signaling schemes, VLSI Circuit Symposium, pp , June [7] S. Gondi and B. Razavi, Equalization and clock and data recovery techniques for 10- Gb/s CMOS serial-link Receivers, IEEE J. solid-state circuits, Vol. 42, No. 9, Sept [8] Equalization for High-Speed Serial Interfaces in Xilinx 7 Series FPGA Transceivers, Equalization.pdf

ULTRASCALE DDR4 DE-EMPHASIS AND CTLE FEATURE OPTIMIZATION WITH STATISTICAL ENGINE FOR BER SPECIFICATION

ULTRASCALE DDR4 DE-EMPHASIS AND CTLE FEATURE OPTIMIZATION WITH STATISTICAL ENGINE FOR BER SPECIFICATION ULTRASCALE DDR4 DE-EMPHASIS AND CTLE FEATURE OPTIMIZATION WITH STATISTICAL ENGINE FOR BER SPECIFICATION Penglin Niu, penglin@xilinx.com Fangyi Rao, fangyi_rao@keysight.com Juan Wang, juanw@xilinx.com Gary

More information

DesignCon 2017 Characterization of DDR4 Receiver Sensitivity Impact on Post-equalization Eye

DesignCon 2017 Characterization of DDR4 Receiver Sensitivity Impact on Post-equalization Eye DesignCon 2017 Characterization of DDR4 Receiver Sensitivity Impact on Post-equalization Eye Yong Wang, Xilinx Inc. Thomas To, Xilinx Inc. Penglin Niu, Xilinx Inc. Fangyi Rao, Keysight Technologies Juan

More information

TITLE. Image. Topic: Topic: Hee-Soo o LEE, Keysight Technologies Cindy Cui, Keysight Technologies

TITLE. Image. Topic: Topic: Hee-Soo o LEE, Keysight Technologies Cindy Cui, Keysight Technologies TITLE Topic: Accurate o Nam elementum Statistical-Based commodo mattis. Pellentesque DDR4 Margin Estimation using malesuada SSN blandit Induced euismod. Jitter Model Topic: Hee-Soo o LEE, Keysight Technologies

More information

Asian IBIS Summit, Tokyo, Japan

Asian IBIS Summit, Tokyo, Japan Asian IBIS Summit, Tokyo, Japan Satoshi Nakamizo / 中溝哲士 12 Nov. 2018 Keysight Technologies Japan K.K. T h e d a t a e y e i s c l o s i n g 1600 3200 6400 Memory channel BW limited Rj improving slowly

More information

Statistical Link Modeling

Statistical Link Modeling April 26, 2018 Wendem Beyene UIUC ECE 546 Statistical Link Modeling Review of Basic Techniques What is a High-Speed Link? 1011...001 TX Channel RX 1011...001 Clock Clock Three basic building blocks: Transmitter,

More information

Comparison of Time Domain and Statistical IBIS-AMI Analyses Mike LaBonte SiSoft

Comparison of Time Domain and Statistical IBIS-AMI Analyses Mike LaBonte SiSoft Comparison of Time Domain and Statistical IBIS-AMI Analyses Mike LaBonte SiSoft Asian IBIS Summit 2017 Taipei, ROC November 15, 2017 9 Combinations of TX and RX Model Types AMI file has: GetWave_Exists

More information

Comparison of Time Domain and Statistical IBIS-AMI Analyses

Comparison of Time Domain and Statistical IBIS-AMI Analyses Comparison of Time Domain and Statistical IBIS-AMI Analyses Mike LaBonte SiSoft Asian IBIS Summit 2017 Shanghai, PRC November 13, 2017 9 Combinations of TX and RX Model Types AMI file has: GetWave_Exists

More information

TITLE. Capturing (LP)DDR4 Interface PSIJ and RJ Performance. Image. Topic: Topic: John Ellis, Synopsys, Inc. Topic: malesuada blandit euismod.

TITLE. Capturing (LP)DDR4 Interface PSIJ and RJ Performance. Image. Topic: Topic: John Ellis, Synopsys, Inc. Topic: malesuada blandit euismod. TITLE Topic: o Nam elementum commodo mattis. Pellentesque Capturing (LP)DDR4 Interface PSIJ and RJ Performance malesuada blandit euismod. Topic: John Ellis, Synopsys, Inc. o o Nam elementum commodo mattis.

More information

End-to-End System-Level Simulations with Repeaters for PCIe Gen4: A How-To Guide

End-to-End System-Level Simulations with Repeaters for PCIe Gen4: A How-To Guide DesignCon 2017 End-to-End System-Level Simulations with Repeaters for PCIe Gen4: A How-To Guide Yongyao Li, Huawei liyongyao@huawei.com Casey Morrison, Texas Instruments cmorrison@ti.com Fangyi Rao, Keysight

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

DDR4 memory interface: Solving PCB design challenges

DDR4 memory interface: Solving PCB design challenges DDR4 memory interface: Solving PCB design challenges Chang Fei Yee - July 23, 2014 Introduction DDR SDRAM technology has reached its 4th generation. The DDR4 SDRAM interface achieves a maximum data rate

More information

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits 1 ECEN 720 High-Speed Links: Circuits and Systems Lab6 Link Modeling with ADS Objective To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

06-011r0 Towards a SAS-2 Physical Layer Specification. Kevin Witt 11/30/2005

06-011r0 Towards a SAS-2 Physical Layer Specification. Kevin Witt 11/30/2005 06-011r0 Towards a SAS-2 Physical Layer Specification Kevin Witt 11/30/2005 Physical Layer Working Group Goal Draft a Specification which will: 1. Meet the System Designers application requirements, 2.

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 9: Noise Sources Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 5 Report and Prelab 6 due Apr. 3 Stateye

More information

Signal Technologies 1

Signal Technologies 1 Signal Technologies 1 Gunning Transceiver Logic (GTL) - evolution Evolved from BTL, the backplane transceiver logic, which in turn evolved from ECL (emitter-coupled logic) Setup of an open collector bus

More information

Bridging the Measurement and Simulation Gap Sarah Boen Marketing Manager Tektronix

Bridging the Measurement and Simulation Gap Sarah Boen Marketing Manager Tektronix Bridging the Measurement and Simulation Gap Sarah Boen Marketing Manager Tektronix 1 Agenda Synergy between simulation and lab based measurements IBIS-AMI overview Simulation and measurement correlation

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: March 18, 2005

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: March 18, 2005 RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications Revision Date: March 18, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in conjunction

More information

To learn Statistical Bit-error-rate (BER) simulation, BERlink noise budgeting and usage of ADS to model high speed I/O link circuits.

To learn Statistical Bit-error-rate (BER) simulation, BERlink noise budgeting and usage of ADS to model high speed I/O link circuits. 1 ECEN 720 High-Speed Links Circuits and Systems Lab6 Link Modeling with ADS Objective To learn Statistical Bit-error-rate (BER) simulation, BERlink noise budgeting and usage of ADS to model high speed

More information

QPairs QTE-DP/QSE-DP Final Inch Designs in Serial ATA Generation 1 Applications 5mm Stack Height. REVISION DATE: January 12, 2005

QPairs QTE-DP/QSE-DP Final Inch Designs in Serial ATA Generation 1 Applications 5mm Stack Height. REVISION DATE: January 12, 2005 Application Note QPairs QTE-DP/QSE-DP Final Inch Designs in Serial ATA Generation 1 Applications 5mm Stack Height REVISION DATE: January 12, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed

More information

Backchannel Modeling and Simulation Using Recent Enhancements to the IBIS Standard

Backchannel Modeling and Simulation Using Recent Enhancements to the IBIS Standard Backchannel Modeling and Simulation Using Recent Enhancements to the IBIS Standard By Ken Willis, Product Engineering Architect; Ambrish Varma, Senior Principal Software Engineer; Dr. Kumar Keshavan, Senior

More information

System Co-design and optimization for high performance and low power SoC s

System Co-design and optimization for high performance and low power SoC s System Co-design and optimization for high performance and low power SoC s Siva S Kothamasu, Texas Instruments Inc, Dallas Snehamay Sinha, Texas Instruments Inc, Dallas Amit Brahme, Texas Instruments India

More information

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab.

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab. High-Speed Circuits and Systems Laboratory B.M.Yu 1 Content 1. Introduction 2. Pre-emphasis 1. Amplitude pre-emphasis 2. Phase pre-emphasis 3. Circuit implantation 4. Result 5. Conclusion 2 Introduction

More information

Q2 QMS-DP/QFS-DP Series 11 mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: February 22, 2005

Q2 QMS-DP/QFS-DP Series 11 mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: February 22, 2005 Q2 QMS-DP/QFS-DP Series 11 mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications Revision Date: February 22, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in

More information

DesignCon Analysis of Crosstalk Effects on Jitter in Transceivers. Daniel Chow, Altera Corporation

DesignCon Analysis of Crosstalk Effects on Jitter in Transceivers. Daniel Chow, Altera Corporation DesignCon 2008 Analysis of Crosstalk Effects on Jitter in Transceivers Daniel Chow, Altera Corporation dchow@altera.com Abstract As data rates increase, crosstalk becomes an increasingly important issue.

More information

High Speed Digital Design & Verification Seminar. Measurement fundamentals

High Speed Digital Design & Verification Seminar. Measurement fundamentals High Speed Digital Design & Verification Seminar Measurement fundamentals Agenda Sources of Jitter, how to measure and why Importance of Noise Select the right probes! Capture the eye diagram Why measure

More information

DP Array DPAM/DPAF Final Inch Designs in Serial ATA Generation 1 Applications 10mm Stack Height. REVISION DATE: January 11, 2005

DP Array DPAM/DPAF Final Inch Designs in Serial ATA Generation 1 Applications 10mm Stack Height. REVISION DATE: January 11, 2005 Application Note DP Array DPAM/DPAF Final Inch Designs in Serial ATA Generation 1 Applications 10mm Stack Height REVISION DATE: January 11, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed

More information

Building IBIS-AMI Models From Datasheet Specifications

Building IBIS-AMI Models From Datasheet Specifications TITLE Building IBIS-AMI Models From Datasheet Specifications Eugene Lim, (Intel of Canada) Donald Telian, (SiGuys Consulting) Image SPEAKERS Eugene K Lim Hardware Design Engineer, Intel Corporation eugene.k.lim@intel.com

More information

Channel operating margin for PAM4 CDAUI-8 chip-to-chip interfaces

Channel operating margin for PAM4 CDAUI-8 chip-to-chip interfaces Channel operating margin for PAM4 CDAUI-8 chip-to-chip interfaces Adam Healey Avago Technologies IEEE P802.3bs 400 GbE Task Force March 2015 Introduction Channel Operating Margin (COM) is a figure of merit

More information

Optimizing On Die Decap in a System at Early Stage of Design Cycle

Optimizing On Die Decap in a System at Early Stage of Design Cycle Optimizing On Die Decap in a System at Early Stage of Design Cycle Naresh Dhamija Pramod Parameswaran Sarika Jain Makeshwar Kothandaraman Praveen Soora Disclaimer: The scope of approach presented is limited

More information

Real Time Jitter Analysis

Real Time Jitter Analysis Real Time Jitter Analysis Agenda ı Background on jitter measurements Definition Measurement types: parametric, graphical ı Jitter noise floor ı Statistical analysis of jitter Jitter structure Jitter PDF

More information

SV2C 28 Gbps, 8 Lane SerDes Tester

SV2C 28 Gbps, 8 Lane SerDes Tester SV2C 28 Gbps, 8 Lane SerDes Tester Data Sheet SV2C Personalized SerDes Tester Data Sheet Revision: 1.0 2015-03-19 Revision Revision History Date 1.0 Document release. March 19, 2015 The information in

More information

Validation & Analysis of Complex Serial Bus Link Models

Validation & Analysis of Complex Serial Bus Link Models Validation & Analysis of Complex Serial Bus Link Models Version 1.0 John Pickerd, Tektronix, Inc John.J.Pickerd@Tek.com 503-627-5122 Kan Tan, Tektronix, Inc Kan.Tan@Tektronix.com 503-627-2049 Abstract

More information

OIF CEI 6G LR OVERVIEW

OIF CEI 6G LR OVERVIEW OIF CEI 6G LR OVERVIEW Graeme Boyd, Yuriy Greshishchev T10 SAS-2 WG meeting, Houston, 25-26 May 2005 www.pmc-sierra.com 1 Outline! Why CEI-6G LR is of Interest to SAS-2?! CEI-6G- LR Specification Methodology!

More information

DesignCon IBIS-AMI Modeling and Simulation of 56G PAM4 Link Systems. Hongtao Zhang, Xilinx Inc.

DesignCon IBIS-AMI Modeling and Simulation of 56G PAM4 Link Systems. Hongtao Zhang, Xilinx Inc. DesignCon 2015 IBIS-AMI Modeling and Simulation of 56G PAM4 Link Systems Hongtao Zhang, Xilinx Inc. hongtao@xilinx.com Fangyi Rao, Keysight Technologies fangyi_rao@keysight.com Xiaoqing Dong, Huawei Technologies

More information

06-496r3 SAS-2 Electrical Specification Proposal. Kevin Witt SAS-2 Phy Working Group 1/16/07

06-496r3 SAS-2 Electrical Specification Proposal. Kevin Witt SAS-2 Phy Working Group 1/16/07 06-496r3 SAS-2 Electrical Specification Proposal Kevin Witt SAS-2 Phy Working Group 1/16/07 Overview Motivation Multiple SAS-2 Test Chips Have Been Built and Tested, SAS-2 Product Designs have Started

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis

Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis Low power SERDES transceiver for supply-induced jitter sensitivity methodology analysis Micro Chang htc Michael_Chang@hTC.com Jan 9, 2019 X 1 Agenda Jitter-aware target impedance of power delivery network

More information

Chip-to-module far-end TX eye measurement proposal

Chip-to-module far-end TX eye measurement proposal Chip-to-module far-end TX eye measurement proposal Raj Hegde & Adam Healey IEEE P802.3bs 400 Gb/s Ethernet Task Force March 2017 Vancouver, BC, Canada 1 Background In smith_3bs_01a_0915, it was shown that

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

Jitter analysis with the R&S RTO oscilloscope

Jitter analysis with the R&S RTO oscilloscope Jitter analysis with the R&S RTO oscilloscope Jitter can significantly impair digital systems and must therefore be analyzed and characterized in detail. The R&S RTO oscilloscope in combination with the

More information

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces

DesignCon On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces DesignCon 2010 On-Chip Power Supply Noise and Reliability Analysis for Multi-Gigabit I/O Interfaces Ralf Schmitt, Rambus Inc. [Email: rschmitt@rambus.com] Hai Lan, Rambus Inc. Ling Yang, Rambus Inc. Abstract

More information

CLOCK AND DATA RECOVERY (CDR) circuits incorporating

CLOCK AND DATA RECOVERY (CDR) circuits incorporating IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1571 Brief Papers Analysis and Modeling of Bang-Bang Clock and Data Recovery Circuits Jri Lee, Member, IEEE, Kenneth S. Kundert, and

More information

T10/05-428r0. From: Yuriy M. Greshishchev, PMC-Sierra Inc. Date: 06 November 2005

T10/05-428r0. From: Yuriy M. Greshishchev, PMC-Sierra Inc. Date: 06 November 2005 T10/05-428r0 SAS-2 channels analyses and suggestion for physical link requirements To: T10 Technical Committee From: Yuriy M. Greshishchev, PMC-Sierra Inc. (yuriy_greshishchev@pmc-sierra.com) Date: 06

More information

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 4.3 A Second-Order Semi-Digital Clock Recovery Circuit Based on Injection Locking M.-J. Edward Lee 1, William J. Dally 1,2,

More information

High-Throughput, High- Sensitivity Measurement of Power Supply-Induced Bounded, Uncorrelated Jitter in Time, Frequency, and Statistical Domains

High-Throughput, High- Sensitivity Measurement of Power Supply-Induced Bounded, Uncorrelated Jitter in Time, Frequency, and Statistical Domains DesignCon 2013 High-Throughput, High- Sensitivity Measurement of Power Supply-Induced Bounded, Uncorrelated Jitter in Time, Frequency, and Statistical Domains Daniel Chow, Ph.D., Altera Corporation dchow@altera.com

More information

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design

Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design DesignCon 2009 Broadband Methodology for Power Distribution System Analysis of Chip, Package and Board for High Speed IO Design Hsing-Chou Hsu, VIA Technologies jimmyhsu@via.com.tw Jack Lin, Sigrity Inc.

More information

Building IBIS-AMI Models from Datasheet Specifications

Building IBIS-AMI Models from Datasheet Specifications DesignCon 2016 Building IBIS-AMI Models from Datasheet Specifications Eugene Lim, Intel Corporation Donald Telian, SiGuys Abstract Some high-speed SerDes devices do not come with IBIS-AMI models. For situations

More information

Modeling System Signal Integrity Uncertainty Considerations

Modeling System Signal Integrity Uncertainty Considerations white paper Intel FPGA Modeling System Signal Integrity Uncertainty Considerations Authors Ravindra Gali High-Speed I/O Applications Engineering, Intel Corporation Zhi Wong High-Speed I/O Applications

More information

100 Gb/s: The High Speed Connectivity Race is On

100 Gb/s: The High Speed Connectivity Race is On 100 Gb/s: The High Speed Connectivity Race is On Cathy Liu SerDes Architect, LSI Corporation Harold Gomard SerDes Product Manager, LSI Corporation October 6, 2010 Agenda 100 Gb/s Ethernet evolution SoC

More information

Analysis and Decomposition of Duty Cycle Distortion from Multiple Sources

Analysis and Decomposition of Duty Cycle Distortion from Multiple Sources DesignCon 2013 Analysis and Decomposition of Duty Cycle Distortion from Multiple Sources Daniel Chow, Ph.D., Altera Corporation dchow@altera.com Shufang Tian, Altera Corporation stian@altera.com Yanjing

More information

40 AND 100 GIGABIT ETHERNET CONSORTIUM

40 AND 100 GIGABIT ETHERNET CONSORTIUM 40 AND 100 GIGABIT ETHERNET CONSORTIUM Clause 93 100GBASE-KR4 PMD Test Suite Version 1.0 Technical Document Last Updated: October 2, 2014 40 and 100 Gigabit Ethernet Consortium 121 Technology Drive, Suite

More information

Wideband On-die Power Supply Decoupling in High Performance DRAM

Wideband On-die Power Supply Decoupling in High Performance DRAM Wideband On-die Power Supply Decoupling in High Performance DRAM Timothy M. Hollis, Senior Member of the Technical Staff Abstract: An on-die decoupling scheme, enabled by memory array cell technology,

More information

Effect of Power Noise on Multi-Gigabit Serial Links

Effect of Power Noise on Multi-Gigabit Serial Links Effect of Power Noise on Multi-Gigabit Serial Links Ken Willis (kwillis@sigrity.com) Kumar Keshavan (ckumar@sigrity.com) Jack Lin (jackwclin@sigrity.com) Tariq Abou-Jeyab (tariqa@sigrity.com) Sigrity Inc.,

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

EDI CON USA Addressing DDR5 design challenges with IBIS-AMI modeling techniques. Todd Westerhoff, SiSoft Doug Burns, SiSoft Eric Brock, SiSoft

EDI CON USA Addressing DDR5 design challenges with IBIS-AMI modeling techniques. Todd Westerhoff, SiSoft Doug Burns, SiSoft Eric Brock, SiSoft EDI CON USA 2017 Addressing DDR5 design challenges with IBIS-AMI modeling techniques Todd Westerhoff, SiSoft Doug Burns, SiSoft Eric Brock, SiSoft This page intentionally blank to support double-sided

More information

Date: October 4, 2004 T10 Technical Committee From: Bill Ham Subject: SAS 1.1 PHY jitter MJSQ modifications

Date: October 4, 2004 T10 Technical Committee From: Bill Ham Subject: SAS 1.1 PHY jitter MJSQ modifications SAS 1.1 PHY jitter MJSQ modifications T10/04-332r0 Date: October 4, 2004 To: T10 Technical Committee From: Bill Ham (bill.ham@hp,com) Subject: SAS 1.1 PHY jitter MJSQ modifications The following proposed

More information

To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence.

To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab2- Channel Models Objective To learn S-parameters, eye diagram, ISI, modulation techniques and their simulations in MATLAB and Cadence. Introduction

More information

Jitter in Digital Communication Systems, Part 1

Jitter in Digital Communication Systems, Part 1 Application Note: HFAN-4.0.3 Rev.; 04/08 Jitter in Digital Communication Systems, Part [Some parts of this application note first appeared in Electronic Engineering Times on August 27, 200, Issue 8.] AVAILABLE

More information

DesignCon Comparison of Two Statistical Methods for High Speed Serial Link Simulation

DesignCon Comparison of Two Statistical Methods for High Speed Serial Link Simulation DesignCon 2013 Comparison of Two Statistical Methods for High Speed Serial Link Simulation Masashi Shimanouchi, Altera Corporation mshimano@alatera.com Mike Peng Li, Altera Corporation mpli@altera.com

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

Analyze and Optimize 32- to 56- Gbps Serial Link Channels

Analyze and Optimize 32- to 56- Gbps Serial Link Channels Analyze and Optimize 32- to 56- Gbps Serial Link Channels January 26, 2017 Al Neves Chief Technologist Wild River Technology Jack Carrel SerDes Applications Engineer Xilinx Heidi Barnes SI/PI Applications

More information

Advanced Memory Buffer (AMB), Characterization of Timing and Voltage Specifications

Advanced Memory Buffer (AMB), Characterization of Timing and Voltage Specifications Advanced Memory Buffer (AMB), Characterization of Timing and Voltage Specifications Application Note Introduction Higher CPU speeds drive the need for higher memory bandwidth. For decades, CPUs have connected

More information

Ultra-high-speed Interconnect Technology for Processor Communication

Ultra-high-speed Interconnect Technology for Processor Communication Ultra-high-speed Interconnect Technology for Processor Communication Yoshiyasu Doi Samir Parikh Yuki Ogata Yoichi Koyanagi In order to improve the performance of storage systems and servers that make up

More information

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System

JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER. World s First LPDDR3 Enabling for Mobile Application Processors System JANUARY 28-31, 2013 SANTA CLARA CONVENTION CENTER World s First LPDDR3 Enabling for Mobile Application Processors System Contents Introduction Problem Statements at Early mobile platform Root-cause, Enablers

More information

M.2 SSIC SM Electrical Test Specification Version 1.0, Revision 0.5. August 27, 2013

M.2 SSIC SM Electrical Test Specification Version 1.0, Revision 0.5. August 27, 2013 M.2 SSIC SM Electrical Test Specification Version 1.0, Revision 0.5 August 27, 2013 Revision Revision History DATE 0.5 Preliminary release 8/23/2013 Intellectual Property Disclaimer THIS SPECIFICATION

More information

yellow highlighted text indicates refinement is needed turquoise highlighted text indicates where the text was original pulled from

yellow highlighted text indicates refinement is needed turquoise highlighted text indicates where the text was original pulled from yellow highlighted text indicates refinement is needed turquoise highlighted text indicates where the text was original pulled from The text of this section was pulled from clause 72.7 128.7 2.5GBASE-KX

More information

DesignCon Applying IBIS-AMI techniques to DDR5 analysis. Todd Westerhoff, SiSoft Doug Burns, SiSoft Eric Brock, SiSoft

DesignCon Applying IBIS-AMI techniques to DDR5 analysis. Todd Westerhoff, SiSoft Doug Burns, SiSoft Eric Brock, SiSoft DesignCon 2018 Applying IBIS-AMI techniques to DDR5 analysis Todd Westerhoff, SiSoft Doug Burns, SiSoft Eric Brock, SiSoft This page intentionally blank to support double-sided printing. Yes, we know it

More information

SAS-2 6Gbps PHY Specification

SAS-2 6Gbps PHY Specification SAS-2 6 PHY Specification T10/07-063r5 Date: April 25, 2007 To: T10 Technical Committee From: Alvin Cox (alvin.cox@seagate.com) Subject: SAS-2 6 PHY Electrical Specification Abstract: The attached information

More information

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology

Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems. A Design Methodology Low Jitter, Low Emission Timing Solutions For High Speed Digital Systems A Design Methodology The Challenges of High Speed Digital Clock Design In high speed applications, the faster the signal moves through

More information

EQUALIZERS. HOW DO? BY: ANKIT JAIN

EQUALIZERS. HOW DO? BY: ANKIT JAIN EQUALIZERS. HOW DO? BY: ANKIT JAIN AGENDA DFE (Decision Feedback Equalizer) Basics FFE (Feed-Forward Equalizer) Basics CTLE (Continuous-Time Linear Equalizer) Basics More Complex Equalization UNDERSTANDING

More information

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray Agenda Problems of On-chip Global Signaling Channel Design Considerations

More information

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors Design for MOSIS Educational Program (Research) Transmission-Line-Based, Shared-Media On-Chip Interconnects for Multi-Core Processors Prepared by: Professor Hui Wu, Jianyun Hu, Berkehan Ciftcioglu, Jie

More information

DesignCon 2010 Predicting BER with IBIS-AMI: experiences correlating SerDes simulations and measurement

DesignCon 2010 Predicting BER with IBIS-AMI: experiences correlating SerDes simulations and measurement DesignCon 2010 Predicting BER with IBIS-AMI: experiences correlating SerDes simulations and measurement Todd Westerhoff, Signal Integrity Software, Inc. twesterh@sisoft.com Adge Hawes, IBM adge@uk.ibm.com

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery

Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery Amit K. Jain, Sameer Shekhar, Yan Z. Li Client Computing Group, Intel Corporation

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 0 Lecture 8: RX FIR, CTLE, & DFE Equalization Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam is

More information

Does skew really degrade SERDES performance?

Does skew really degrade SERDES performance? DesignCon 2015 Does skew really degrade SERDES performance? Shirin Farrahi, Oracle Corp. Vijay Kunda, Oracle Corp. Ying Li, Oracle Corp. Xun Zhang, Oracle Corp. Gustavo Blando, Oracle Corp. Istvan Novak,

More information

NRZ CHIP-CHIP. CDAUI-8 Chip-Chip. Tom Palkert. MoSys 12/16/2014

NRZ CHIP-CHIP. CDAUI-8 Chip-Chip. Tom Palkert. MoSys 12/16/2014 NRZ CHIP-CHIP CDAUI-8 Chip-Chip Tom Palkert MoSys 12/16/2014 Proposes baseline text for an 8 lane 400G Ethernet electrical chip to chip interface (CDAUI-8) using NRZ modulation. The specification leverages

More information

Keysight Technologies M8062A 32 Gb/s Front-End for J-BERT M8020A High-Performance BERT

Keysight Technologies M8062A 32 Gb/s Front-End for J-BERT M8020A High-Performance BERT Keysight Technologies M8062A 32 Gb/s Front-End for J-BERT M8020A High-Performance BERT Data Sheet Version 3.5 Introduction The M8062A extends the data rate of the J-BERT M8020A Bit Error Ratio Tester to

More information

High-Performance Electrical Signaling

High-Performance Electrical Signaling High-Performance Electrical Signaling William J. Dally 1, Ming-Ju Edward Lee 1, Fu-Tai An 1, John Poulton 2, and Steve Tell 2 Abstract This paper reviews the technology of high-performance electrical signaling

More information

Polarization Optimized PMD Source Applications

Polarization Optimized PMD Source Applications PMD mitigation in 40Gb/s systems Polarization Optimized PMD Source Applications As the bit rate of fiber optic communication systems increases from 10 Gbps to 40Gbps, 100 Gbps, and beyond, polarization

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

High-Speed Link Tuning Using Signal Conditioning Circuitry in Stratix V Transceivers

High-Speed Link Tuning Using Signal Conditioning Circuitry in Stratix V Transceivers High-Speed Link Tuning Using Signal Conditioning Circuitry in Stratix V Transceivers AN678 Subscribe This application note provides a set of guidelines to run error free across backplanes at high-speed

More information

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug

High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug JEDEX 2003 Memory Futures (Track 2) High Speed Digital Systems Require Advanced Probing Techniques for Logic Analyzer Debug Brock J. LaMeres Agilent Technologies Abstract Digital systems are turning out

More information

DDR4 SI/PI Analysis Using IBIS5.0

DDR4 SI/PI Analysis Using IBIS5.0 DDR4 SI/PI Analysis Using IBIS5.0 Socionext Inc. Yumiko Sugaya Asian IBIS Summit, Tokyo, Japan November 16, 2015 Outline Overview DDR4 SI/PI Analysis Issue Over Clocking issue DDR4 SI/PI Analysis Using

More information

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model

How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model How to anticipate Signal Integrity Issues: Improve my Channel Simulation by using Electromagnetic based model HSD Strategic Intent Provide the industry s premier HSD EDA software. Integration of premier

More information

SINCE the performance of personal computers (PCs) has

SINCE the performance of personal computers (PCs) has 334 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 5, MAY 2010 Multi-Slot Main Memory System for Post DDR3 Jaejun Lee, Sungho Lee, and Sangwook Nam, Member, IEEE Abstract This

More information

Digital Systems Power, Speed and Packages II CMPE 650

Digital Systems Power, Speed and Packages II CMPE 650 Speed VLSI focuses on propagation delay, in contrast to digital systems design which focuses on switching time: A B A B rise time propagation delay Faster switching times introduce problems independent

More information

AFBR-59F2Z Data Sheet Description Features Applications Transmitter Receiver Package

AFBR-59F2Z Data Sheet Description Features Applications Transmitter Receiver Package AFBR-59F2Z 2MBd Compact 6nm Transceiver for Data communication over Polymer Optical Fiber (POF) cables with a bare fiber locking system Data Sheet Description The Avago Technologies AFBR-59F2Z transceiver

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 12: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report #2 due Apr. 20 Expand

More information

ECE 497 JS Lecture - 22 Timing & Signaling

ECE 497 JS Lecture - 22 Timing & Signaling ECE 497 JS Lecture - 22 Timing & Signaling Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Announcements - Signaling Techniques (4/27) - Signaling

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

To learn S-parameter, eye diagram, ISI, modulation techniques and to simulate in Matlab and Cadence.

To learn S-parameter, eye diagram, ISI, modulation techniques and to simulate in Matlab and Cadence. 1 ECEN 689 High-Speed Links Circuits and Systems Lab2- Channel Models Objective To learn S-parameter, eye diagram, ISI, modulation techniques and to simulate in Matlab and Cadence. Introduction S-parameters

More information

Correlation of Model Simulations and Measurements

Correlation of Model Simulations and Measurements Correlation of Model Simulations and Measurements Roy Leventhal Leventhal Design & Communications Presented June 5, 2007 IBIS Summit Meeting, San Diego, California Correlation of Model Simulations and

More information