100 Gb/s: The High Speed Connectivity Race is On

Size: px
Start display at page:

Download "100 Gb/s: The High Speed Connectivity Race is On"

Transcription

1 100 Gb/s: The High Speed Connectivity Race is On Cathy Liu SerDes Architect, LSI Corporation Harold Gomard SerDes Product Manager, LSI Corporation October 6, 2010

2 Agenda 100 Gb/s Ethernet evolution SoC challenges and solutions to drive 4x25 Gb/s Ethernet High Speed Serial link system design Summary 2

3 SerDes SerDes Contemporary 100 Gb/s Ethernet with 10 Gb/s SerDes 100 Gb/s Media Access Controller (MAC) 20 differential pairs per interface 100 Gb/s Physical Coding Sublayer (PCS) Optional Forward Error Correction (FEC) 10x10 GbE 20 virtual lanes 2:1 bit multiplexer 10 x 10 Gb/s SerDes 10 physical lanes Challenges for 10 x 10 Gb/s implementation implies high cost Uses many package and/or connector pins Complicates board routing and more high speed signal layers Limits ASIC and faceplate port densities Large number of pairs cabling to terminate implies higher cost Large outer diameter implies heavy, inflexible cable Today s 100 Gb/s Ethernet built from 10 lanes of 10 Gb/s SerDes 3

4 SerDes SerDes SerDes SerDes Industry Trend for High Speed Serial Link System Merging Gb/s serial electrical links IEEE 100GbE, OIF CEI 28G-SR/25G-LR, 32GFC, Infiniband EDR Lower-cost, more manageable cable assemblies Common form-factors and connector technologies 10x10 GbE 4x25 GbE 4 x 25 Gb/s is the natural evolutionary step for 100 Gb/s Ethernet 4

5 SerDes SerDes SerDes SerDes Path from 10x10 Gb/s to 4x25 Gb/s: Challenges 10x10 GbE Challenges Lossy links and noisy environment Need High speed circuit design at Gb/s Technology and implementation limitations Large channel counts (~200) in SoCs 4x25 GbE - 32dB IL at 5GHz - 30dB Xtalk BladeSystem Legacy Channels already present significant 10 Gb/s! 5

6 SerDes SerDes SerDes SerDes Path from 10x10 Gb/s to 4x25 Gb/s: Solutions 10x10 GbE 4x25 GbE Addressed Challenge High-Loss Channel High-Loss and Noisy Environment Noisy Environment Technology and Circuit Limitations Large Channel Counts All Solution Space Alternative Signaling Format Beyond NRZ ADC + DSP-based SerDes Architecture FEC and Noise Cancellation Innovative Circuit Implementation Advanced SoC Integration Joint System-Design Optimization 6

7 FEC FFE DFE System Interface SerDes Architecture Evolution Industry trends are to consider alternative signaling formats beyond NRZ like Multi-level or Multi-band for 25G+ long reach application Low power and high speed interleaved ADC technology enables DSP architecture to extend equalization capability Forward Error Correction (FEC) and crosstalk cancellation to further improve SNR ADC 1 Analog Filter AGC ADC 2 Analog Front End ADC n Interleaved ADC Timing Recovery Digital Domain Reference: Liu et al, Comparison of signaling and equalization schemes in high speed SerDes (10-25Gbps) DesignCon

8 Implementation Challenges for Building a 25G SerDes Challenge Requirements Constraint Signal Integrity RL of 12.5GHz Low power Tx Driver Bandwidth 18.75GHz Low power Signal Dynamic Range Scaling 30dB Linearity 28nm CMOS device 0.85V Ultra-low PLL Random Jitter 250fs (rms) Low power Circuit innovations required to tackle 25G SerDes challenges Reference: Wu et al, A 2x25Gb/s Deserializer with 2:5 DMUX for 100Gb/s Ethernet applications ISSCC

9 Circuit Innovation to Tackle Implementation Challenges (I) Source-Series Terminated (SST) driver with a bridge inductor CMOS differential circuit provides Ultra-high bandwidth for 25 Gbps signal processing Bridge inductor mitigates return loss degradation from I/O capacitance SST Driver with Inductor TX Return Loss Simulation of 16G-LR driver Without Inductor 8dB RL 25 Gbps With Inductor Blue: without inductor Black: with inductor and power mesh Pink: with inductor SST driver simulations on LSI 16G-LR SerDes meet 8dB RL 25 Gbps 9

10 Data Alignment Decoding Bubble Correction Circuit Innovation to Tackle Implementation Challenges (II) ADC-based SerDes for 25Gbps Serial link with alternative signaling 12.5Gs/s 6-bit low-power time-interleaved ADC enables DSP for 25G SerDes DSP provides powerful equalization to tackle ISI, crosstalk and reflection ISI Correction CDR Gain Adaptation Skew Adjustment ADC Calibration 10

11 High Channel Count SerDes Integration in ASIC Asymmetrical TX and RX Challenges in high channel count SerDes integration High-speed Clocking within SoC Power Integrity of SoC High-speed Signal Integrity 11

12 Challenges in high channel count SerDes integration - PLL Coupling in High Channel Count SerDes Integration LC-PLL Coupling is one of top challenges for high-speed clocking Advanced techniques are required for multiple LC-PLL placement Minimum spacing between 2 LC-PLLs Design rule for substrate isolation and power mesh PLLA Clock Out Spectrum of LSI PLLA 40nm = GHz, 14G-LR PLLB = 8.5 GHz SerDes PLLA Clock Out PLLA= GHz, PLLB = 8.5 GHz Measured Jitter of PLLA Variation limited to +/- 1ps PLLB Test Condition G p2p Jitter 8.5G 12.3ps PLLA Clock Out G 13.2ps 12.5G 12.9ps G 11.2ps E+09 E+09 E+09 E+09 E+09 E+09 E+09 E+09 E+09 E+09 E+09 Powered off 12.6ps Advanced LC-PLL placement achieves no injection lock coupling from other PLL 12

13 Challenges in high channel count SerDes integration - Power Integrity in High Channel Count SerDes Integration Simulation Setup Simulated AC Noise PI Analysis of SoC with LSI 14G-LR SerDes Power supply Recommended PKG DCAP PKG IR Drop (%) Self-induced on-die AC noise peak voltage (%) CAP Value Spec Simulated Spec Simulated VDDA 22nF (x2) VDDREF 10nF (x2) VDDA1P8 N/A 1.0 < PI analysis ensures IR drop and AC noise meet SoC design requirements 13

14 Magnitude (db) Challenges in high channel count SerDes integration - Package SI for High Channel Count SerDes Integration Package routing length can vary 12mm to 25mm for high channel count SoC Package SI Analysis of SoC with LSI 14G-LR SerDes TX insertion loss TX return loss RX-TX cross talk 0 CW Tx Device Sdd 22 Return Loss: Worst Case Die over PVT Frequency (GHz) Port 0: Tx Port 1: Tx Port 2: Tx Port 9: Tx 16GFC Epsilon T Sdd22 RL Compliance Spectrum Package SI Kit provides powerful tool for High-Speed SI analysis 14

15 Challenges in high channel count SerDes integration - Multiple dimensions Electrical Increased loss over given medium length System sizes do not shrink Fixed budget loss driven by a transceiver Mechanical Increased xtalk at connector and package New BGA routing strategy required Port density vs. signal integrity Thermal Dynamic power consumption increase Single hot spot ASIC thermal management Cannot solve with innovative circuits alone 15

16 High-Speed System Design Considerations: SerDes SerDes targets compensation for non-ideal system characteristics DJ caused by frequency dependent loss and reflections Limited ability to address RJ from excessive crosstalk RX Floating Tap DFE a k Tx FIR RX Linear EQ Transmitter Channel Receiver + â k SerDes Features TX FIR de-emphasis RX Linear EQ RX Floating Tap DFE RX DFE loops System Benefit Reduction in dispersion/loss Reduction in dispersion/loss Compensates post-cursor ISI and reflections Improves immunity to Crosstalk SerDes mitigates the need for advanced PCB material and Interconnect Solutions until now 16 LSI Proprietary

17 High Speed System Design Considerations: Crosstalk 10Gbps System Example with severe Crosstalk TX 7.5 Megtron-6 40 Megtron Megtron-6 Xcede Connector Xcede Connector RX Without Crosstalk With Crosstalk Linear EQ Only Linear EQ + DFE Joint System Optimization required for crosstalk mitigation 17

18 Magnitude (db) High Speed System Design Considerations: Reflection 10Gbps System Example with severe Reflections 0 Worst Case 5 inch Blade Channel with FCI GigArray Mezz Connector 5 inch Chip-to-Chip Blade Channel SoC Mezz Card Mezz Connector Blade Card SoC Frequency (GHz) Sdd 21 Insertion Loss: Blade Channel Sdd 11 Return Loss: Blade Channel Sdd 21 Insertion Loss: Ideal 5-inch FR4 trace Significant reflections at 5 GHz Nyquist Frequency 18

19 High Speed System Design Considerations: Reflection 10Gbps System Example with severe Reflections 5 inch Blade Channel 5 inch Ideal FR4 Trace Channel reflections Fixed and Floating Rx DFE taps 1-tap Rx DFE Joint System Optimization required for reflection mitigation 19 LSI Proprietary

20 High Speed System Design Considerations: WC Scenario High density system applications require truncated search criteria Bound the problem by simulating conservative worst case scenarios Parameterize problem and limit permutations to only viable options WC Scenario SI simulation stress parameter SerDes Rx Stress Goal 1 Maximize IL and XTLK magnitudes Degrade SNR 2 Maximize reflections using short T-lines and/or long stubs Increase ISI from reflections Stressing System simulation model with worst case SI scenarios 20

21 Magnitude (db) Magnitude (db) 25 Gb/s System Design Challenges Higher data rates lead to electrically longer channels Consequences: diminishing SNR s and generally higher return loss! Gb/s 25 Gb/s Less SNR Insertion Loss Total XTLK Higher Return Loss Return Loss Frequency (Hz) Frequency (Hz) Primary Impairments are More Accentuated at Higher Frequencies Reference: Kollipara et al, Practical Design Considerations for 10 to 25 Gbps Copper Backplane Serial Links, DesignCon

22 25 Gb/s System Design Issues Issue Potential Solutions Elevated Insertion Loss Ultra Low Loss Tangent PCB laminates Ultra Low Profile Copper Aggravated dispersion Lower Dielectric Constant Elevated IO Return Loss Elevated Connector Return Loss Elevated Connector Crosstalk Innovative circuit / inductors Optimized Mating Interface Impedance Smaller Compliant Pins Improved Shielding Optimized Footprint Topology Joint System Optimization Required for 4x25 Gb/s 22

23 25 Gb/s Applications Power vs. System Margins Tradeoff LSI IP and System design focus on application margins 3-sigma design and beyond for some key parameter (latch offset) Low margins Analog and CML circuits for industry leading performance and margins Low Power Design but not at the expense of system margins No Skew LSI margins System Margin Focus.at manageable power 23

24 Summary 4 x 25 Gb/s is the natural evolutionary step for 100 Gb/s Ethernet SerDes architecture and circuit innovation required for 4 X 25 Gb/s SoCs require high quality clocking, Power Integrity and SI analysis 100G is a multi-dimensional problem that needs to be tackled holistically SerDes alone not a panacea for high speed system design challenges Joint System Optimization required for crosstalk and reflection mitigation 24

25 25

56+ Gb/s Serial Transmission using Duobinary Signaling

56+ Gb/s Serial Transmission using Duobinary Signaling 56+ Gb/s Serial Transmission using Duobinary Signaling Jan De Geest Senior Staff R&D Signal Integrity Engineer, FCI Timothy De Keulenaer Doctoral Researcher, Ghent University, INTEC-IMEC Introduction Motivation

More information

Beyond 25 Gbps: A Study of NRZ & Multi-Level Modulation in Alternative Backplane Architectures

Beyond 25 Gbps: A Study of NRZ & Multi-Level Modulation in Alternative Backplane Architectures DesignCon 2013 Beyond 25 Gbps: A Study of NRZ & Multi-Level Modulation in Alternative Backplane Architectures Adam Healey, LSI Corporation adam.healey@lsi.com Chad Morgan, TE Connectivity chad.morgan@te.com

More information

06-011r0 Towards a SAS-2 Physical Layer Specification. Kevin Witt 11/30/2005

06-011r0 Towards a SAS-2 Physical Layer Specification. Kevin Witt 11/30/2005 06-011r0 Towards a SAS-2 Physical Layer Specification Kevin Witt 11/30/2005 Physical Layer Working Group Goal Draft a Specification which will: 1. Meet the System Designers application requirements, 2.

More information

OIF CEI 6G LR OVERVIEW

OIF CEI 6G LR OVERVIEW OIF CEI 6G LR OVERVIEW Graeme Boyd, Yuriy Greshishchev T10 SAS-2 WG meeting, Houston, 25-26 May 2005 www.pmc-sierra.com 1 Outline! Why CEI-6G LR is of Interest to SAS-2?! CEI-6G- LR Specification Methodology!

More information

An Initial Investigation of a Serial 100 Gbps PAM4 VSR Electrical Channel

An Initial Investigation of a Serial 100 Gbps PAM4 VSR Electrical Channel An Initial Investigation of a Serial 100 Gbps PAM4 VSR Electrical Channel Nathan Tracy TE Connectivity May 24, 2017 1 DATA & DEVICES Agenda Transmission over copper Channel description Existing 25G channel

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

06-496r3 SAS-2 Electrical Specification Proposal. Kevin Witt SAS-2 Phy Working Group 1/16/07

06-496r3 SAS-2 Electrical Specification Proposal. Kevin Witt SAS-2 Phy Working Group 1/16/07 06-496r3 SAS-2 Electrical Specification Proposal Kevin Witt SAS-2 Phy Working Group 1/16/07 Overview Motivation Multiple SAS-2 Test Chips Have Been Built and Tested, SAS-2 Product Designs have Started

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

High-Speed Link Tuning Using Signal Conditioning Circuitry in Stratix V Transceivers

High-Speed Link Tuning Using Signal Conditioning Circuitry in Stratix V Transceivers High-Speed Link Tuning Using Signal Conditioning Circuitry in Stratix V Transceivers AN678 Subscribe This application note provides a set of guidelines to run error free across backplanes at high-speed

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN72: High-Speed Links Circuits and Systems Spring 217 Lecture 4: Channel Pulse Model & Modulation Schemes Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Lab 1 Report

More information

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014 Considerations in High-Speed High Performance Die-Package-Board Co-Design Jenny Jiang Altera Packaging Department October 2014 Why Co-Design? Complex Multi-Layer BGA Package Horizontal and vertical design

More information

A possible receiver architecture and preliminary COM Analysis with GEL Channels

A possible receiver architecture and preliminary COM Analysis with GEL Channels A possible receiver architecture and preliminary COM Analysis with 802.3 100GEL Channels Mike Li, Hsinho Wu, Masashi Shimanouchi, Adee Ran Intel Corporation May 2018 May 2018 interim meeting, Pittsburgh,

More information

!!!!!!! KANDOU S INTERFACES! FOR HIGH SPEED SERIAL LINKS! WHITE PAPER! VERSION 1.9! THURSDAY, MAY 17, 2013!!

!!!!!!! KANDOU S INTERFACES! FOR HIGH SPEED SERIAL LINKS! WHITE PAPER! VERSION 1.9! THURSDAY, MAY 17, 2013!! KANDOU S INTERFACES FOR HIGH SPEED SERIAL LINKS WHITE PAPER VERSION 1.9 THURSDAY, MAY 17, 2013 " Summary has developed an important new approach to serial link design that increases the bit rate for a

More information

High-Speed Interconnect Technology for Servers

High-Speed Interconnect Technology for Servers High-Speed Interconnect Technology for Servers Hiroyuki Adachi Jun Yamada Yasushi Mizutani We are developing high-speed interconnect technology for servers to meet customers needs for transmitting huge

More information

Beta and Epsilon Point Update. Adam Healey Mark Marlett August 8, 2007

Beta and Epsilon Point Update. Adam Healey Mark Marlett August 8, 2007 Beta and Epsilon Point Update Adam Healey Mark Marlett August 8, 2007 Contributors and Supporters Dean Wallace, QLogic Pravin Patel, IBM Eric Kvamme, LSI Tae-Kwang Jeon, LSI Bill Fulmer, LSI Max Olsen,

More information

25Gb/s Ethernet Channel Design in Context:

25Gb/s Ethernet Channel Design in Context: 25Gb/s Ethernet Channel Design in Context: Channel Operating Margin (COM) Brandon Gore April 22 nd 2016 Backplane and Copper Cable Ethernet Interconnect Channel Compliance before IEEE 802.3bj What is COM?

More information

High-Speed Links. Agenda : High Speed Links

High-Speed Links. Agenda : High Speed Links High-Speed Links Vladimir Stojanovic (with slides from M. Horowitz, J. Zerbe, K.Yang and W. Ellersick) EE371 Lecture 16 Agenda : High Speed Links High-Speed Links, What,Where? Signaling Faster - Evolution»

More information

EQUALIZERS. HOW DO? BY: ANKIT JAIN

EQUALIZERS. HOW DO? BY: ANKIT JAIN EQUALIZERS. HOW DO? BY: ANKIT JAIN AGENDA DFE (Decision Feedback Equalizer) Basics FFE (Feed-Forward Equalizer) Basics CTLE (Continuous-Time Linear Equalizer) Basics More Complex Equalization UNDERSTANDING

More information

Channel operating margin for PAM4 CDAUI-8 chip-to-chip interfaces

Channel operating margin for PAM4 CDAUI-8 chip-to-chip interfaces Channel operating margin for PAM4 CDAUI-8 chip-to-chip interfaces Adam Healey Avago Technologies IEEE P802.3bs 400 GbE Task Force March 2015 Introduction Channel Operating Margin (COM) is a figure of merit

More information

DP Array DPAM/DPAF Final Inch Designs in Serial ATA Generation 1 Applications 10mm Stack Height. REVISION DATE: January 11, 2005

DP Array DPAM/DPAF Final Inch Designs in Serial ATA Generation 1 Applications 10mm Stack Height. REVISION DATE: January 11, 2005 Application Note DP Array DPAM/DPAF Final Inch Designs in Serial ATA Generation 1 Applications 10mm Stack Height REVISION DATE: January 11, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed

More information

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab.

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab. High-Speed Circuits and Systems Laboratory B.M.Yu 1 Content 1. Introduction 2. Pre-emphasis 1. Amplitude pre-emphasis 2. Phase pre-emphasis 3. Circuit implantation 4. Result 5. Conclusion 2 Introduction

More information

A Comparison of 25 Gbps NRZ & PAM-4 Modulation Used in Legacy & Premium Backplane Channels

A Comparison of 25 Gbps NRZ & PAM-4 Modulation Used in Legacy & Premium Backplane Channels Adam Healey, LSI Corporation adam.healey@lsi.com Chad Morgan, TE Connectivity chad.morgan@te.com Abstract Standards bodies are now examining how to increase the throughput of high-density backplane links

More information

EE290C Spring Lecture 2: High-Speed Link Overview and Environment. Elad Alon Dept. of EECS

EE290C Spring Lecture 2: High-Speed Link Overview and Environment. Elad Alon Dept. of EECS EE290C Spring 2011 Lecture 2: High-Speed Link Overview and Environment Elad Alon Dept. of EECS Most Basic Link Keep in mind that your goal is to receive the same bits that were sent EE290C Lecture 2 2

More information

T10/05-428r0. From: Yuriy M. Greshishchev, PMC-Sierra Inc. Date: 06 November 2005

T10/05-428r0. From: Yuriy M. Greshishchev, PMC-Sierra Inc. Date: 06 November 2005 T10/05-428r0 SAS-2 channels analyses and suggestion for physical link requirements To: T10 Technical Committee From: Yuriy M. Greshishchev, PMC-Sierra Inc. (yuriy_greshishchev@pmc-sierra.com) Date: 06

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 0 Lecture 8: RX FIR, CTLE, & DFE Equalization Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam is

More information

Studies on FIR Filter Pre-Emphasis for High-Speed Backplane Data Transmission

Studies on FIR Filter Pre-Emphasis for High-Speed Backplane Data Transmission Studies on FIR Filter Pre-Emphasis for High-Speed Backplane Data Transmission Miao Li Department of Electronics Carleton University Ottawa, ON. K1S5B6, Canada Tel: 613 525754 Email:mili@doe.carleton.ca

More information

For IEEE 802.3ck March, Intel

For IEEE 802.3ck March, Intel 106Gbps C2M Simulation Updates For IEEE 802.3ck March, 2019 Mike Li, Hsinho Wu, Masashi Shimanouchi Intel 1 Contents Objective and Motivations TP1a Device and Link Configuration CTLE Characteristics Package

More information

FLYOVER QSFP APPLICATION DESIGN GUIDE

FLYOVER QSFP APPLICATION DESIGN GUIDE FLYOVER QSFP APPLICATION DESIGN GUIDE FLY CRITICAL DATA OVER THE BOARD Samtec s Flyover QSFP Systems provide improved signal integrity and architectural flexibility by flying critical high-speed signals

More information

ECEN 620: Network Theory Broadband Circuit Design Fall 2012

ECEN 620: Network Theory Broadband Circuit Design Fall 2012 ECEN 620: Network Theory Broadband Circuit Design Fall 2012 Lecture 23: High-Speed I/O Overview Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 3 is postponed to Dec. 11

More information

Q2 QMS-DP/QFS-DP Series 11 mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: February 22, 2005

Q2 QMS-DP/QFS-DP Series 11 mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: February 22, 2005 Q2 QMS-DP/QFS-DP Series 11 mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications Revision Date: February 22, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in

More information

1Gbps to 12.5Gbps Passive Equalizer for Backplanes and Cables

1Gbps to 12.5Gbps Passive Equalizer for Backplanes and Cables 19-46; Rev 2; 2/8 EVALUATION KIT AVAILABLE 1Gbps to 12.Gbps General Description The is a 1Gbps to 12.Gbps equalization network that compensates for transmission medium losses encountered with FR4 and cables.

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 207 Lecture 8: RX FIR, CTLE, DFE, & Adaptive Eq. Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 4 Report and Prelab

More information

Analyze and Optimize 32- to 56- Gbps Serial Link Channels

Analyze and Optimize 32- to 56- Gbps Serial Link Channels Analyze and Optimize 32- to 56- Gbps Serial Link Channels January 26, 2017 Al Neves Chief Technologist Wild River Technology Jack Carrel SerDes Applications Engineer Xilinx Heidi Barnes SI/PI Applications

More information

QPairs QTE-DP/QSE-DP Final Inch Designs in Serial ATA Generation 1 Applications 5mm Stack Height. REVISION DATE: January 12, 2005

QPairs QTE-DP/QSE-DP Final Inch Designs in Serial ATA Generation 1 Applications 5mm Stack Height. REVISION DATE: January 12, 2005 Application Note QPairs QTE-DP/QSE-DP Final Inch Designs in Serial ATA Generation 1 Applications 5mm Stack Height REVISION DATE: January 12, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed

More information

10GBASE-T T Tutorial. SolarFlare Communications IEEE Kauai, Hawaii. November 11, 2002

10GBASE-T T Tutorial. SolarFlare Communications IEEE Kauai, Hawaii. November 11, 2002 10GBASE-T T Tutorial IEEE 802.3 Kauai, Hawaii November 11, 2002 Communications Communications 10GBASE-T IEEE Tutorial, 11/11/2002 1 Agenda Introduction, Cabling & Challenges - George Zimmerman, Ph.D. CEO

More information

Note Using the PXIe-5785 in a manner not described in this document might impair the protection the PXIe-5785 provides.

Note Using the PXIe-5785 in a manner not described in this document might impair the protection the PXIe-5785 provides. SPECIFICATIONS PXIe-5785 PXI FlexRIO IF Transceiver This document lists the specifications for the PXIe-5785. Specifications are subject to change without notice. For the most recent device specifications,

More information

Backchannel Modeling and Simulation Using Recent Enhancements to the IBIS Standard

Backchannel Modeling and Simulation Using Recent Enhancements to the IBIS Standard Backchannel Modeling and Simulation Using Recent Enhancements to the IBIS Standard By Ken Willis, Product Engineering Architect; Ambrish Varma, Senior Principal Software Engineer; Dr. Kumar Keshavan, Senior

More information

PCB Routing Guidelines for Signal Integrity and Power Integrity

PCB Routing Guidelines for Signal Integrity and Power Integrity PCB Routing Guidelines for Signal Integrity and Power Integrity Presentation by Chris Heard Orange County chapter meeting November 18, 2015 1 Agenda Insertion Loss 101 PCB Design Guidelines For SI Simulation

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

High Speed Digital Design & Verification Seminar. Measurement fundamentals

High Speed Digital Design & Verification Seminar. Measurement fundamentals High Speed Digital Design & Verification Seminar Measurement fundamentals Agenda Sources of Jitter, how to measure and why Importance of Noise Select the right probes! Capture the eye diagram Why measure

More information

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits 1 ECEN 720 High-Speed Links: Circuits and Systems Lab6 Link Modeling with ADS Objective To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed

More information

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: March 18, 2005

RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications. Revision Date: March 18, 2005 RiseUp RU8-DP-DV Series 19mm Stack Height Final Inch Designs in Serial ATA Generation 1 Applications Revision Date: March 18, 2005 Copyrights and Trademarks Copyright 2005 Samtec, Inc. Developed in conjunction

More information

DDR4 memory interface: Solving PCB design challenges

DDR4 memory interface: Solving PCB design challenges DDR4 memory interface: Solving PCB design challenges Chang Fei Yee - July 23, 2014 Introduction DDR SDRAM technology has reached its 4th generation. The DDR4 SDRAM interface achieves a maximum data rate

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 9: Noise Sources Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 5 Report and Prelab 6 due Apr. 3 Stateye

More information

Ultra-high-speed Interconnect Technology for Processor Communication

Ultra-high-speed Interconnect Technology for Processor Communication Ultra-high-speed Interconnect Technology for Processor Communication Yoshiyasu Doi Samir Parikh Yuki Ogata Yoichi Koyanagi In order to improve the performance of storage systems and servers that make up

More information

Building IBIS-AMI Models From Datasheet Specifications

Building IBIS-AMI Models From Datasheet Specifications TITLE Building IBIS-AMI Models From Datasheet Specifications Eugene Lim, (Intel of Canada) Donald Telian, (SiGuys Consulting) Image SPEAKERS Eugene K Lim Hardware Design Engineer, Intel Corporation eugene.k.lim@intel.com

More information

Brian Holden Kandou Bus, S.A. IEEE GE Study Group July 16, 2013 Geneva, Switzerland

Brian Holden Kandou Bus, S.A. IEEE GE Study Group July 16, 2013 Geneva, Switzerland An exploration of the technical feasibility of the major technology options for 400GE backplanes Brian Holden Kandou Bus, S.A. brian@kandou.com IEEE 802.3 400GE Study Group July 16, 2013 Geneva, Switzerland

More information

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012

Si Photonics Technology Platform for High Speed Optical Interconnect. Peter De Dobbelaere 9/17/2012 Si Photonics Technology Platform for High Speed Optical Interconnect Peter De Dobbelaere 9/17/2012 ECOC 2012 - Luxtera Proprietary www.luxtera.com Overview Luxtera: Introduction Silicon Photonics: Introduction

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

Chip-to-module far-end TX eye measurement proposal

Chip-to-module far-end TX eye measurement proposal Chip-to-module far-end TX eye measurement proposal Raj Hegde & Adam Healey IEEE P802.3bs 400 Gb/s Ethernet Task Force March 2017 Vancouver, BC, Canada 1 Background In smith_3bs_01a_0915, it was shown that

More information

Signal Technologies 1

Signal Technologies 1 Signal Technologies 1 Gunning Transceiver Logic (GTL) - evolution Evolved from BTL, the backplane transceiver logic, which in turn evolved from ECL (emitter-coupled logic) Setup of an open collector bus

More information

A SerDes Balancing Act: Co-Optimizing Tx and Rx Equalization Settings to Maximize Margin. Donald Telian, Owner SiGuys Todd Westerhoff, VP SiSoft

A SerDes Balancing Act: Co-Optimizing Tx and Rx Equalization Settings to Maximize Margin. Donald Telian, Owner SiGuys Todd Westerhoff, VP SiSoft A SerDes Balancing Act: Co-Optimizing Tx and Rx Equalization Settings to Maximize Margin Donald Telian, Owner SiGuys Todd Westerhoff, VP SiSoft AGENDA A SerDes Balancing Act Introduction Co-Optimization

More information

Keysight Technologies M8062A 32 Gb/s Front-End for J-BERT M8020A High-Performance BERT

Keysight Technologies M8062A 32 Gb/s Front-End for J-BERT M8020A High-Performance BERT Keysight Technologies M8062A 32 Gb/s Front-End for J-BERT M8020A High-Performance BERT Data Sheet Version 3.5 Introduction The M8062A extends the data rate of the J-BERT M8020A Bit Error Ratio Tester to

More information

Bridging the Measurement and Simulation Gap Sarah Boen Marketing Manager Tektronix

Bridging the Measurement and Simulation Gap Sarah Boen Marketing Manager Tektronix Bridging the Measurement and Simulation Gap Sarah Boen Marketing Manager Tektronix 1 Agenda Synergy between simulation and lab based measurements IBIS-AMI overview Simulation and measurement correlation

More information

Statistical Link Modeling

Statistical Link Modeling April 26, 2018 Wendem Beyene UIUC ECE 546 Statistical Link Modeling Review of Basic Techniques What is a High-Speed Link? 1011...001 TX Channel RX 1011...001 Clock Clock Three basic building blocks: Transmitter,

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

ISSCC 2006 / SESSION 4 / GIGABIT TRANSCEIVERS / 4.1

ISSCC 2006 / SESSION 4 / GIGABIT TRANSCEIVERS / 4.1 SSCC 006 / SESSON 4 / GGABT TRANSCEVERS / 4. 4. A 0Gb/s 5-Tap-/4-Tap-FFE Transceiver in 90nm CMOS M. Meghelli, S. Rylov, J. Bulzacchelli, W. Rhee, A. Rylyakov, H. Ainspan, B. Parker, M. Beakes, A. Chung,

More information

mm-wave Transceiver Challenges for the 5G and 60GHz Standards Prof. Emanuel Cohen Technion

mm-wave Transceiver Challenges for the 5G and 60GHz Standards Prof. Emanuel Cohen Technion mm-wave Transceiver Challenges for the 5G and 60GHz Standards Prof. Emanuel Cohen Technion November 11, 11, 2015 2015 1 mm-wave advantage Why is mm-wave interesting now? Available Spectrum 7 GHz of virtually

More information

Myoung Joon Choi, Vishram S. Pandit Intel Corp.

Myoung Joon Choi, Vishram S. Pandit Intel Corp. Myoung Joon Choi, Vishram S. Pandit Intel Corp. IBIS Summit at DesignCon 2010 Acknowledgements: Woong Hwan Ryu, Joe Salmon Copyright 2010, Intel Corporation. All rights reserved. Need for SI/PI Co-analysis

More information

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2 13.2 An MLSE Receiver for Electronic-Dispersion Compensation of OC-192 Fiber Links Hyeon-min Bae 1, Jonathan Ashbrook 1, Jinki Park 1, Naresh Shanbhag 2, Andrew Singer 2, Sanjiv Chopra 1 1 Intersymbol

More information

Micram DAC10001 and DAC GS/s Digital to Analog Converter System. Data Sheet

Micram DAC10001 and DAC GS/s Digital to Analog Converter System. Data Sheet Micram DAC10001 and DAC10002 100 GS/s Digital to Analog Converter Data Sheet 100 GS/s Sample rate per channel Single and Dual Channel s 35 GHz Analog Bandwidth (typical) Very fast (

More information

A Two-Tone Test Method for Continuous-Time Adaptive Equalizers

A Two-Tone Test Method for Continuous-Time Adaptive Equalizers Two-Tone Test Method for Continuous-Time daptive Equalizers Dongwoo Hong*, Shadi Saberi**, Kwang-Ting (Tim) Cheng*, C. Patrick Yue* University of California, Santa Barbara, C, US* Carnegie Mellon University,

More information

ECE 546 Introduction

ECE 546 Introduction ECE 546 Introduction Spring 2018 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jesa@illinois.edu ECE 546 Jose Schutt Aine 1 Future System Needs and Functions Auto Digital

More information

Reality Check: Challenges of mixed-signal VLSI design for high-speed optical communications

Reality Check: Challenges of mixed-signal VLSI design for high-speed optical communications Reality Check: Challenges of mixed-signal VLSI design for high-speed optical communications Mixed-signal VLSI for 100G and beyond 100G optical transport system Why single-chip CMOS? So what is so difficult?

More information

Reducing Development Risk in Communications Applications with High-Performance Oscillators

Reducing Development Risk in Communications Applications with High-Performance Oscillators V.7/17 Reducing Development Risk in Communications Applications with High-Performance Oscillators Introducing Silicon Labs new Ultra Series TM Oscillators Powered by 4 th Generation DSPLL Technology, new

More information

Relationship Between Signal Integrity and EMC

Relationship Between Signal Integrity and EMC Relationship Between Signal Integrity and EMC Presented by Hasnain Syed Solectron USA, Inc. RTP, North Carolina Email: HasnainSyed@solectron.com 06/05/2007 Hasnain Syed 1 What is Signal Integrity (SI)?

More information

Ensuring Signal and Power Integrity for High-Speed Digital Systems

Ensuring Signal and Power Integrity for High-Speed Digital Systems Ensuring Signal and Power Integrity for High-Speed Digital Systems An EMC Perspective Christian Schuster Institut für Theoretische Elektrotechnik Technische Universität Hamburg-Harburg (TUHH) Invited Presentation

More information

SERDES for 100Gbps. May 24, 2017 Bart Zeydel, Francesco Caggioni, Tom Palkert

SERDES for 100Gbps. May 24, 2017 Bart Zeydel, Francesco Caggioni, Tom Palkert SERDES for 100Gbps May 24, 2017 Bart Zeydel, Francesco Caggioni, Tom Palkert 1 Outline > Narva 16nm FinFET CMOS transceiver for demonstrating 100GE PAM-4 links 100GE single λ link measurements SERDES interface

More information

SV2C 28 Gbps, 8 Lane SerDes Tester

SV2C 28 Gbps, 8 Lane SerDes Tester SV2C 28 Gbps, 8 Lane SerDes Tester Data Sheet SV2C Personalized SerDes Tester Data Sheet Revision: 1.0 2015-03-19 Revision Revision History Date 1.0 Document release. March 19, 2015 The information in

More information

Survey of High-Speed Serial Technologies

Survey of High-Speed Serial Technologies Survey of High-Speed Serial Technologies T10 SAS-2 WG meeting, Houston, 25-26 May 2005 Yuriy M. Greshishchev PMC-Sierra Inc. Outline Multi-Gigabit Standard Space Milestones! XAUI! XFI! OIF CEI Transceiver

More information

Effect of Power Noise on Multi-Gigabit Serial Links

Effect of Power Noise on Multi-Gigabit Serial Links Effect of Power Noise on Multi-Gigabit Serial Links Ken Willis (kwillis@sigrity.com) Kumar Keshavan (ckumar@sigrity.com) Jack Lin (jackwclin@sigrity.com) Tariq Abou-Jeyab (tariqa@sigrity.com) Sigrity Inc.,

More information

The Practical Limitations of S Parameter Measurements and the Impact on Time- Domain Simulations of High Speed Interconnects

The Practical Limitations of S Parameter Measurements and the Impact on Time- Domain Simulations of High Speed Interconnects The Practical Limitations of S Parameter Measurements and the Impact on Time- Domain Simulations of High Speed Interconnects Dennis Poulin Anritsu Company Slide 1 Outline PSU Signal Integrity Symposium

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

Micram DAC7201 and DAC GS/s Digital to Analog Converter Systems. Data Sheet

Micram DAC7201 and DAC GS/s Digital to Analog Converter Systems. Data Sheet Micram DAC7201 and DAC7202 72 GS/s Digital to Analog Converter s Data Sheet 72 GS/s Sample rate per channel 22+ GHz Analogue Bandwidth Very fast (

More information

PROLABS XENPAK-10GB-SR-C

PROLABS XENPAK-10GB-SR-C PROLABS XENPAK-10GB-SR-C 10GBASE-SR XENPAK 850nm Transceiver XENPAK-10GB-SR-C Overview PROLABS s XENPAK-10GB-SR-C 10 GBd XENPAK optical transceivers are designed for Storage, IP network and LAN, it is

More information

Eye Diagrams. EE290C Spring Most Basic Link BER. What About That Wire. Why Wouldn t You Get What You Sent?

Eye Diagrams. EE290C Spring Most Basic Link BER. What About That Wire. Why Wouldn t You Get What You Sent? EE29C Spring 2 Lecture 2: High-Speed Link Overview and Environment Eye Diagrams V V t b This is a This is a V e Eye Opening - space between and Elad Alon Dept. of EECS t e With voltage noise With timing

More information

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems M. Meghelli 1, A. Rylyakov 1, S. J. Zier 2, M. Sorna 2, D. Friedman 1 1 IBM T. J. Watson Research Center 2 IBM

More information

EBERT 2904 Pulse Pattern Generator and Error Detector Datasheet

EBERT 2904 Pulse Pattern Generator and Error Detector Datasheet EBERT 2904 Pulse Pattern Generator and Error Detector Datasheet REV 1.0 2904 KEY FEATURES Four channel NRZ Pulse Pattern Generator and Error Detector Operating range between 24.6 to 29.5 Gb/s along with

More information

EBERT 1504 Pulse Pattern Generator and Error Detector Datasheet

EBERT 1504 Pulse Pattern Generator and Error Detector Datasheet EBERT 1504 Pulse Pattern Generator and Error Detector Datasheet REV 1.0 1504 KEY FEATURES Four channel NRZ Pulse Pattern Generator and Error Detector Wide operating range between 1 to 15 Gb/s and beyond

More information

DesignCon IBIS-AMI Modeling and Simulation of 56G PAM4 Link Systems. Hongtao Zhang, Xilinx Inc.

DesignCon IBIS-AMI Modeling and Simulation of 56G PAM4 Link Systems. Hongtao Zhang, Xilinx Inc. DesignCon 2015 IBIS-AMI Modeling and Simulation of 56G PAM4 Link Systems Hongtao Zhang, Xilinx Inc. hongtao@xilinx.com Fangyi Rao, Keysight Technologies fangyi_rao@keysight.com Xiaoqing Dong, Huawei Technologies

More information

High-Speed Transceiver Toolkit

High-Speed Transceiver Toolkit High-Speed Transceiver Toolkit Stratix V FPGA Design Seminars 2011 3.0 Stratix V FPGA Design Seminars 2011 Our seminars feature hour-long modules on different Stratix V capabilities and applications to

More information

Multilane MM Optics: Considerations for 802.3ba. John Petrilla Avago Technologies March 2008

Multilane MM Optics: Considerations for 802.3ba. John Petrilla Avago Technologies March 2008 Multilane MM Optics: Considerations for 802.3ba John Petrilla Avago Technologies March 2008 Acknowledgements & References pepeljugoski_01_0108 Orlando, FL, March 2008 Multilane MM Optics: Considerations

More information

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap

Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Silicon Photonics Transceivers for Hyper Scale Datacenters: Deployment and Roadmap Peter De Dobbelaere Luxtera Inc. 09/19/2016 Luxtera Proprietary www.luxtera.com Luxtera Company Introduction $100B+ Shift

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 6: RX Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 4 Prelab due now Exam

More information

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group

Source: Nanju Na Jean Audet David R Stauffer IBM Systems and Technology Group Title: Package Model Proposal Source: Nanju Na (nananju@us.ibm.com) Jean Audet (jaudet@ca.ibm.com), David R Stauffer (dstauffe@us.ibm.com) Date: Dec 27 IBM Systems and Technology Group Abstract: New package

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

08-027r2 Toward SSC Modulation Specs and Link Budget

08-027r2 Toward SSC Modulation Specs and Link Budget 08-027r2 Toward SSC Modulation Specs and Link Budget (Spreading the Pain) Guillaume Fortin, Rick Hernandez & Mathieu Gagnon PMC-Sierra 1 Overview The JTF as a model of CDR performance Using the JTF to

More information

XFP-10GER-192IR V Operating Environment Supply Voltage 1.8V V CC V Operating Environment Supply Current 1.8V I CC1.

XFP-10GER-192IR V Operating Environment Supply Voltage 1.8V V CC V Operating Environment Supply Current 1.8V I CC1. XFP-10GER-192IR The XFP-10GER-192IRis programmed to be fully compatible and functional with all intended CISCO switching devices. This XFP optical transceiver is designed for IEEE 802.3ae 10GBASE-ER, 10GBASE-

More information

TOP VIEW MAX9111 MAX9111

TOP VIEW MAX9111 MAX9111 19-1815; Rev 1; 3/09 EVALUATION KIT AVAILABLE Low-Jitter, 10-Port LVDS Repeater General Description The low-jitter, 10-port, low-voltage differential signaling (LVDS) repeater is designed for applications

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard 0.13 µm CMOS SOI Technology School of Electrical and Electronic Engineering Yonsei University 이슬아 1. Introduction 2. Architecture

More information

SINCE the performance of personal computers (PCs) has

SINCE the performance of personal computers (PCs) has 334 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 5, MAY 2010 Multi-Slot Main Memory System for Post DDR3 Jaejun Lee, Sungho Lee, and Sangwook Nam, Member, IEEE Abstract This

More information

LSI and Circuit Technologies of the SX-9

LSI and Circuit Technologies of the SX-9 TANAHASHI Toshio, TSUCHIDA Junichi, MATSUZAWA Hajime NIWA Kenji, SATOH Tatsuo, KATAGIRI Masaru Abstract This paper outlines the LSI and circuit technologies of the SX-9 as well as their inspection technologies.

More information

A 24Gb/s Software Programmable Multi-Channel Transmitter

A 24Gb/s Software Programmable Multi-Channel Transmitter A 24Gb/s Software Programmable Multi-Channel Transmitter A. Amirkhany 1, A. Abbasfar 2, J. Savoj 2, M. Jeeradit 2, B. Garlepp 2, V. Stojanovic 2,3, M. Horowitz 1,2 1 Stanford University 2 Rambus Inc 3

More information

2002 IEEE International Solid-State Circuits Conference 2002 IEEE

2002 IEEE International Solid-State Circuits Conference 2002 IEEE Outline 802.11a Overview Medium Access Control Design Baseband Transmitter Design Baseband Receiver Design Chip Details What is 802.11a? IEEE standard approved in September, 1999 12 20MHz channels at 5.15-5.35

More information

Keywords: GPS, receiver, GPS receiver, MAX2769, 2769, 1575MHz, Integrated GPS Receiver, Global Positioning System

Keywords: GPS, receiver, GPS receiver, MAX2769, 2769, 1575MHz, Integrated GPS Receiver, Global Positioning System Maxim > Design Support > Technical Documents > User Guides > APP 3910 Keywords: GPS, receiver, GPS receiver, MAX2769, 2769, 1575MHz, Integrated GPS Receiver, Global Positioning System USER GUIDE 3910 User's

More information

Architectures and circuits for timeinterleaved. Sandeep Gupta Teranetics, Santa Clara, CA

Architectures and circuits for timeinterleaved. Sandeep Gupta Teranetics, Santa Clara, CA Architectures and circuits for timeinterleaved ADC s Sandeep Gupta Teranetics, Santa Clara, CA Outline Introduction to time-interleaved architectures. Conventional Sampling architectures and their application

More information

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray Agenda Problems of On-chip Global Signaling Channel Design Considerations

More information

Return Loss of Test Channel for Rx ITT in Clause 136 (#72)

Return Loss of Test Channel for Rx ITT in Clause 136 (#72) Return Loss of Test Channel for Rx ITT in Clause 136 (#72) Yasuo Hidaka Fujitsu Laboratories of America, Inc. IEEE P802.3cd 50GbE, 100GbE, and 200GbE Task Force, July 11-13, 2017 IEEE 802.3 Plenary Meeting

More information

XFP-10GLR-OC192SR-C. 10 Gigabit XFP Transceiver, LC Connectors, 1310nm, SingleMode Fiber 10km

XFP-10GLR-OC192SR-C. 10 Gigabit XFP Transceiver, LC Connectors, 1310nm, SingleMode Fiber 10km PROLABS XFP-10GLR-OC192SR-C 10 Gigabit 1310nm SingleMode XFP Optical Transceiver XFP-10GLR-OC192SR-C Overview ProLabs s XFP-10GLR-OC192SR-C 10 GBd XFP optical transceivers are designed for the IEEE 802.3ae

More information