Thinking About Jitter:

Size: px
Start display at page:

Download "Thinking About Jitter:"

Transcription

1 Thinking About Jitter: Circuit Structures and Analytic Techniques for Measuring and Understanding Oscillator Stability John A. McNeill Worcester Polytechnic Institute, Worcester, MA Chengxin Liu Motorola, N. Andover, MA 1

2 Presentation Outline Measurement Techniques Design Tools Measurement Techniques Relating Jitter / Phase Noise Measurements Application: Ring Oscillator Application: True Random Number Generation Conclusion 2

3 Presentation Outline Measurement Techniques Design Tools Measurement Techniques Relating Jitter / Phase Noise Measurements Application: Ring Oscillator Application: True Random Number Generation Conclusion 3

4 Typical Application : SONET Serial data transmission over fiber optic link; requires: - Low bit error rate (BER) - Low cost, low power, simple interface - Recover bit clock from serial data Transmit end Receive end Clock recovery TDATA TCLK fiber link Vin RCLK RDATA Vin RCLK RDATA 4

5 Clock Recovery with PLL Vin Vin PHASE DETECTOR D Q RDATA RETIMED DATA RCLK LOOP FILTER VOLTAGE CONTROLLED OSCILLATOR RCLK "LATE" RCLK "EARLY" RECOVERED CLOCK RCLK Advantage - Low cost: entire system can be integrated - Requires integrated, low jitter VCO 5

6 Jitter Referenced to Transmit Clock TCLK RCLK! X TCLK TDATA RCLK RDATA TRIG VERT DATA SOURCE CLOCK RECOVERY PLL (D.U.T) COMMUNICATIONS SIGNAL ANALYZER 6

7 Measurement of Jitter Referenced to TCLK AD MHz X8/X16 Synthesizer Measured closed-loop jitter σ x = 24.5ps rms 7

8 Time Domain: Two Sample Standard Deviation Equipment: Communications Signal Analyzer (CSA) Procedure - "Self referenced:" clock is both trigger and input - Observe histogram (distribution) of delay times to threshold crossings of clock - Plot standard deviation σ as a function of delay T D.U.T. CLK CLK VERT IN TRIG To CSA803A!!T TRIGGER!T Average delay = NTo 8

9 Standard Deviation σ vs. Delay T Delay T = 32.4 ns Standard Deviation σ = 7.35 ps Delay T = 3.00 µs Standard Deviation σ = 45.9 ps Longer Delay T More Jitter Accumulation Larger Standard Deviation σ IEEE I&M SOCIETY McNEILL: THINKING ABOUT JITTER... MAY 10,

10 PLL VCO Time Domain Measurements Open loop: σ proportional to square root of T! "T = # "T Closed loop: Action of loop limits σ for delays longer than loop bandwidth τ κ: Time Domain Figure-of-Merit 10

11 What is Phase? Phase is the argument of a trigonometric function: V(t) = V o sin Frequency [! t + " ] o Phase #(t) Initial phase Frequency is the time derivative of phase: " = d dt!(t) Phase is the integral of frequency: $(t) =! 0 t "(t) dt + # o 11

12 Time Domain Phase Measurement: Jitter Frequency Phase Observed Voltage 4" 3" 2" V(t)!(t) " 0 t #(t) t t 4" 3" 2" V(t)!(t) " 0 t #(t) t t 12

13 Simplified Noise Model Ideal VCO with white noise at input Vctl VCO Vout Vout(t) t!(t) "RANDOM WALK" IDEAL PHASE (NOISELESS) t 13

14 Frequency Domain Measurement: Phase Noise IDEAL SINE WAVE AMPLITUDE NOISE PHASE NOISE TIME DOMAIN FREQUENCY DOMAIN 14

15 Frequency Domain Measurement Equipment: Spectrum Analyzer "Direct Spectrum" Procedure - Feed clock into RF input - Observe spectrum near fundamental frequency RF IN SPECTRUM ANALYZER 15

16 PLL VCO Spectrum Measurements Open loop: Integration of white noise at VCO input gives 1/f 2 spectrum S!OL ( f ) = N 1 f 2 Closed loop: 1/f 2 spectrum shaped by loop filter Spectrum flattens for f < f L N 1 : Frequency Domain Figure-of-Merit 16

17 Measurement Technique Summary 17

18 Presentation Outline Measurement Techniques Design Tools Measurement Techniques Relating Jitter / Phase Noise Measurements Application: Ring Oscillator Application: True Random Number Generation Conclusion 18

19 Relating Jitter / Phase Noise Measurements Reason - Design in the domain that gives the most insight - Always able to relate to end user's specification Analysis Domains - Time - Frequency PLL Operating Conditions - Closed loop - Open loop (stand alone) 19

20 Open Loop VCO Phase Noise log SVctl(f) Vctl INPUT WHITE NOISE p.s.d. log f log S!(f) OPEN LOOP PHASE NOISE p.s.d. (INTEGRATED WHITE NOISE) "1" 1/ f 2 log f 20

21 PLL Response to Phase Noise PHASE DETECTOR LOOP FILTER VCO!n!i Kd (!i -!o) F(s) Ko s!o log H(f) log f!i!n Hs(f) PHASE INPUT!o!n Hn(f) PHASE NOISE TRANSFER FUNCTION fl 21

22 Phase Noise at PLL Output!n!o log H(f) OPEN LOOP PHASE NOISE p.s.d. (INTEGRATED WHITE NOISE) CLOSED LOOP p.s.d. (LOWPASS DUE TO SHAPING BY LOOP) LOOP PHASE NOISE TRANSFER FUNCTION log f!o!n fl 22

23 Developing Time/Frequency Relationships Frequency domain, VCO open loop S!(f) S!OL ( f ) = N 1 f 2 N1: Frequency domain figure-of-merit f Frequency domain, VCO closed loop S! (f) S!CL ( f ) = N 1 f L 2 ( ) 2 1+ f f L f L fl: Loop bandwidth (known by design) f 23

24 Developing Time/Frequency Relationships Time domain, closed loop, transmit clock referenced Variance (average power) is integral of phase noise PSD S! (f) +" #!" N 1 f L 2 ( ) 2 = 1 + f f L N 1 $ f L = % x 2 f L f Result is in radians; convert to seconds! x = 1 f 0 N 1 4"f L p(t)! x t 24

25 Developing Time/Frequency Relationships Time domain, closed loop, self referenced Two sample standard deviation related to autocorrelation: 2! "T = 2 ( 2!x # RXX ("T))!!T After Fourier transform of PSD: " 2 "! x" 2! "T = 2 2!x ( 1# e #2$f L "T ) Time domain, open loop, self referenced Limit as loop bandwidth 0! "T = N 1 f 0 # { "T Time, Frequency Domain Figures-of-Merit Linked!!!T!T!T 25

26 Mathematical Relationships 26

27 Experimental Results: AD809 X8/X16 Synthesizer OPEN LOOP: CLOSED LOOP: 1000 STDEV [ps] MEASURED DELAY [ns] FIT TO! = 2.94E-8! x = ( 2.94E " 8) Predicted: 1 4# 120kHz ( ) = 23.9 psrms Measured: σ x = 24.5ps rms 27

28 Benefits of time/frequency technique Can relate either open loop figure of merit (κ or N1) to closed loop jitter performance. Allows design to take place in most convenient domain Simplifies design and simulation: need only consider open loop VCO Allows stand-alone test of VCO contribution to jitter. Applies to any oscillator that fits 1/f 2 model BUT... κ and N1 describe jitter performance of the entire oscillator: How to relate to design decisions on the circuit level? 28

29 Presentation Outline Measurement Techniques Design Tools Measurement Techniques Relating Jitter / Phase Noise Measurements Application: Ring Oscillator Application: True Random Number Generation Conclusion 29

30 Effect of Length of Ring Rings of lengths 3, 4, 5, 7, and 9 stages were fabricated All delay stages identical N delay stages What effect does the length of the ring have on jitter? 30

31 Schematic of Ring Stage for Experiments VCC CS1 R1 500! R2 500! CS2 Q4 Q3 + Vin - Q1 Q2 + Vout - IEE VCC + VCTL - 250! VBIAS 300 µa 400 µa 200 µa 200 µa 4k! 3k! 6k! 6k! VEE 31

32 Results: Ring Length Not A Factor! RING STAGES! [E-08!s] fo [MHz] td [ps] Jitter depends only on number of gates traversed, not number of oscillator periods: 100 rms jitter [psec] predicted Length of ring is not a factor! Only need to consider κ of individual gate to know jitter performance of ring!t delay [nsec]

33 Ring length experiment: Handwaving explanation Example: two rings: 3 -stage and 5-stage 10nsec delay per stage (all stages identical) What is jitter after 150nsec delay? A B C D E A' B' C' 150 nsec 150 nsec A A' B B' C C' D E

34 Ring length experiment: Handwaving explanation Jitter will be the same for each ring In both cases, edge has traversed 15 gate delays Jitter errors added in each delay are independent Note: edge has not traversed same number of oscillator periods When analyzing jitter in ring oscillators, the gate delay is the fundamental unit of time, not the oscillator period A B C D E A' B' C' 150 nsec 150 nsec A A' B B' C C' D E

35 Meaning of ring length experiment Can design ring from single gate Determine κ for one gate Within gate, find κ for each noise source 35

36 Differential CMOS Noise Sources Differential pair delay stage VDD Delay stage with noise sources VDD enrl enrl CL1 CL2 RL1 RL2 CL1 CL2 Vout - + RL1 RL2 Vout Vin - M1 M2 ISS + Vin - en1 M1 M2 en2 VBIAS M3 ISS inss VSS VSS 36

37 κ expression: switched input noise model Delay: Voltage noise σ V from noise analysis Jitter σ t from σ V, slope S κ from T d = ln(2)r L C L " t = # T d $ # = " t T d σ V S σ t 37

38 κ expression: switched input noise Approximating g m as shown: κ due to input referred e n : +ISS!IOUT " = 1 2 ln(2) e n g m I SS 2 R L!VIN Using e n = 8 3 kt g m -VLIN +VLIN -ISS " = 2 3ln(2) kt I SS 2 R L gm(!vin) gm!vin 38

39 κ expressions: load resistance, tail current Differential pair drain load resistance 2 kt! = ln(2) I 2 SS R L Tail current! = 1 2 ln(2) i n I SS If tail current is shot noise, use: i n = 1 " = 2ln(2) q I SS 2qI SS 39

40 MOS Differential Pair κ Expression Summary Differential pair drain load resistance! = Tail current! = Differential pair input referred noise 2 kt " = 3ln(2) I 2 SS R L Input referred noise of VCO control path! = ln(2) 1 2 ln(2) kt I SS 2 RL i n I SS K O " O e n (VCO) Does not include short channel effects! 40

41 Intuitive meaning of Κ expressions Time domain figure of merit κ : - has dimensions of square root (time) - quantifies gate's ability to measures time accurately All equations for κ take form: UNCERTAINTY!IN!QUANTITY QUANTITY!FLOW!RATE κ from thermal noise in collector load resistor! = κ from shot noise in tail current IEE " = 2 ln(2) 1 2ln(2) kt I SS 2 RL q I SS joule joule/sec coul coul/sec 41

42 κ Expressions: Implications for Design For lower jitter, need lower κ Denominator of all gate-level κ expressions have current I power dissipation I 2 R voltage signal swing IR For lower jitter, need to increase current, power, swing Implication: As supply voltages, allowable power dissipation decrease, low jitter becomes harder to achieve 42

43 Benefits of Time/Frequency Relationships Can relate either open loop figure of merit (κ or N 1 ) to closed loop jitter performance. Allows design to take place in most convenient domain Simplifies design and simulation: only need open loop VCO Allows stand-alone test of VCO contribution to jitter. Applies to any oscillator that fits 1/f 2 model AND... κ and N 1 can also be related to circuit-level parameters Power dissipation Signal amplitude Q of resonator Link from system level to circuit-level design decisions 43

44 Presentation Outline Measurement Techniques Design Tools Measurement Techniques Relating Jitter / Phase Noise Measurements Application: Ring Oscillator Application: True Random Number Generation Conclusion 44

45 Application: Smart Card Embedded 16-bit microprocessor Electronic commerce: store financial information Security: Need Random Number Generator (RNG) to generate encryption keys True RNG: Not pseudo (e.g. MLSG register with feedback) Immune to supply variations 45

46 Conventional RNGs Noise High Freq. Oscillator D Q Digital P ost-processing Random Bits Amplifier Thermal N oise Source Noisy Low Freq. Oscillator Data '1' CLK The jitter of the low speed oscillator must be much greater than the period of the high speed oscillator The jitter to mean period ratio is less than 1E-4 for ring oscillators in TSMC 0.18um digital library The speed ratio for the two oscillators is 100:1 for the Intel RNG Data CLK '0' B. Jun and P. Kocher, The Intel random number generator, Technical Report, Intel, M. Bucci et al., A high speed oscillator-based TRN source for smart card, IEEE Trans. Computers,

47 Architecture of Digital PLL-based RNG 9 bits DAC Ctrl Ring Oscillator II Data Post-processing Random Bits Bias D Q 24-bit up/dow n counterp 8 bits DAC Ctrl Ring Oscillator I Clk 1-bit up/dow n 1 bit counter z Analog IC Off-chip FPGA 47

48 Digital PLL-based RNG Problem: Loop startup transient Long wait for loop to lock rings together 48

49 Architecture of DLL-based TRNG Delay-lock loop: Maintain equal average delay in inverter chains CLK Voltage Controlled Delay Chain II Bias Data D Q Loop Filter Voltage Controlled Delay Chain II Clk Post-processing Random Bits Analog IC Input clock edge races to DFF clock, data inputs 49

50 Jitter Sampling VCO II (Data) VCO I (CLK) '1' '0' PDF of t diff [ n ] _ x '1' '0' 50% 50% 0 t diff [ n ] 50

51 DLL Delay Stage Delay control: V ctl controls resistance of drain loads Tail current controlled with V tail Maintain amplitude of signal swing 51

52 Die Micrograph of DLL-based RNG AMI 1.5um Process Die Size: 2.2x2.2mm 52

53 Spectrum, Autocorrelation of Raw DLL-based TRNG Output Problem: Strong DC component Highly correlated (non-random) output! Reason: not exactly (finite loop filter gain; input offset) 53

54 Digital Post-Processing: Von Neumann Corrector Take FF output bits in pairs {0,1} and {1,0} equally probable Disadvantage: reduces output bit rate INPUT BITS 0,0 0,1 1,0 1,1 PROBABILITY (1-p) 2 p(1-p) p(1-p) p 2 OUTPUT BIT none 0 1 none 54

55 Spectrum, Autocorrelation of Processed DLL TRNG Output White-noiselike: no strong peaks Uncorrelated (random) output! 55

56 19K 1K 19K 1K Data V ctl V ctl 0.1u 0.1u CLK CLK Jitter Measurement Force fixed delay; Break loop; Measure P{Q=1} Voltage Controlled Delay Chain II Voltage Controlled Delay Chain II Bias Bias Voltage Controlled Delay Chain II Voltage Controlled Delay Chain II Analog IC Analog IC Data Data D Clk D Clk Q Q Loop Filter Loop Filter Post-processing Post-processing DAQ on PC DAQ on PC Random Bits Random Bits % of '0' % of '0' CLK P{Q=1} 0 < >0.5 1 P (Q='1') 0% <50% 50% >50% 100% r Nice: ps time measurement from digital, DC analog 56

57 Jitter Measurement Result Best fit Gaussian CDF: σ = 210ps rms Prediction from κ model: 190ps rms Gaussian shape: Some confidence in true thermal noise 57

58 Presentation Outline Measurement Techniques Design Tools Measurement Techniques Relating Jitter / Phase Noise Measurements Application: Ring Oscillator Application: True Random Number Generation Conclusion 58

59 Conclusion Simplified noise model allows quick translation between time / frequency domain measurements of jitter / phase noise Relating different jitter measurements allows design in domain that gives most insight. Enables linkage between system-level description and circuit-level sources of jitter / phase noise Design for simple measurement of jitter to confirm prediction 59

Decoupling Technique for Reducing Sensitivity of Differential Pairs to Power-Supply-Induced Jitter

Decoupling Technique for Reducing Sensitivity of Differential Pairs to Power-Supply-Induced Jitter Decoupling Technique for Reducing Sensitivity of Differential Pairs to Power-Supply-Induced Jitter John McNeill Vladimir Zlatkovic David Bowler Lawrence M. DeVito ANALOG DEVICES Application Presentation

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

Choosing Loop Bandwidth for PLLs

Choosing Loop Bandwidth for PLLs Choosing Loop Bandwidth for PLLs Timothy Toroni SVA Signal Path Solutions April 2012 1 Phase Noise (dbc/hz) Choosing a PLL/VCO Optimized Loop Bandwidth Starting point for setting the loop bandwidth is

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

The Designer s Guide to Jitter in Ring Oscillators

The Designer s Guide to Jitter in Ring Oscillators The Designer s Guide to Jitter in Ring Oscillators The Designer s Guide Book Series Series Editor: Ken Kundert Cadence Design Systems San Jose, CA USA The Designer s Guide to Jitter in Ring Oscillators

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas

Self-Biased PLL/DLL. ECG minute Final Project Presentation. Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Self-Biased PLL/DLL ECG721 60-minute Final Project Presentation Wenlan Wu Electrical and Computer Engineering University of Nevada Las Vegas Outline Motivation Self-Biasing Technique Differential Buffer

More information

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR

A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR A PROCESS AND TEMPERATURE COMPENSATED RING OSCILLATOR Yang-Shyung Shyu * and Jiin-Chuan Wu Dept. of Electronics Engineering, National Chiao-Tung University 1001 Ta-Hsueh Road, Hsin-Chu, 300, Taiwan * E-mail:

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 12: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report #2 due Apr. 20 Expand

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

Low Phase Noise CMOS Ring Oscillator VCOs for Frequency Synthesis

Low Phase Noise CMOS Ring Oscillator VCOs for Frequency Synthesis Low Phase Noise CMOS Ring Oscillator VCOs for Frequency Synthesis July 27, 1998 Rafael J. Betancourt Zamora and Thomas H. Lee Stanford Microwave Integrated Circuits Laboratory jeihgfdcbabakl Paul G. Allen

More information

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems M. Meghelli 1, A. Rylyakov 1, S. J. Zier 2, M. Sorna 2, D. Friedman 1 1 IBM T. J. Watson Research Center 2 IBM

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2012

ECEN620: Network Theory Broadband Circuit Design Fall 2012 ECEN620: Network Theory Broadband Circuit Design Fall 2012 Lecture 20: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 2 is on Friday Nov. 9 One double-sided 8.5x11

More information

Multiple Reference Clock Generator

Multiple Reference Clock Generator A White Paper Presented by IPextreme Multiple Reference Clock Generator Digitial IP for Clock Synthesis August 2007 IPextreme, Inc. This paper explains the concept behind the Multiple Reference Clock Generator

More information

Real Time Jitter Analysis

Real Time Jitter Analysis Real Time Jitter Analysis Agenda ı Background on jitter measurements Definition Measurement types: parametric, graphical ı Jitter noise floor ı Statistical analysis of jitter Jitter structure Jitter PDF

More information

Jitter Measurements using Phase Noise Techniques

Jitter Measurements using Phase Noise Techniques Jitter Measurements using Phase Noise Techniques Agenda Jitter Review Time-Domain and Frequency-Domain Jitter Measurements Phase Noise Concept and Measurement Techniques Deriving Random and Deterministic

More information

Michael S. McCorquodale, Ph.D. Founder and CTO, Mobius Microsystems, Inc.

Michael S. McCorquodale, Ph.D. Founder and CTO, Mobius Microsystems, Inc. Self-Referenced, Trimmed and Compensated RF CMOS Harmonic Oscillators as Monolithic Frequency Generators Integrating Time Michael S. McCorquodale, Ph.D. Founder and CTO, Mobius Microsystems, Inc. 2008

More information

CLOCK AND DATA RECOVERY (CDR) circuits incorporating

CLOCK AND DATA RECOVERY (CDR) circuits incorporating IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1571 Brief Papers Analysis and Modeling of Bang-Bang Clock and Data Recovery Circuits Jri Lee, Member, IEEE, Kenneth S. Kundert, and

More information

THE SELF-BIAS PLL IN STANDARD CMOS

THE SELF-BIAS PLL IN STANDARD CMOS THE SELF-BIAS PLL IN STANDAD CMOS Miljan Nikolić, Milan Savić, Predrag Petković Laboratory for Electronic Design Automation, Faculty of Electronic Engineering, University of Niš, Aleksandra Medvedeva 14.,

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

Digital PWM IC Control Technology and Issues

Digital PWM IC Control Technology and Issues Digital PWM IC Control Technology and Issues Prof. Seth R. Sanders Angel V. Peterchev Jinwen Xiao Jianhui Zhang Department of EECS University of California, Berkeley Digital Control Advantages implement

More information

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition P. K. Rout, B. P. Panda, D. P. Acharya and G. Panda 1 Department of Electronics and Communication Engineering, School of Electrical

More information

A Low Noise, Voltage Control Ring Oscillator Based on Pass Transistor Delay Cell

A Low Noise, Voltage Control Ring Oscillator Based on Pass Transistor Delay Cell A Low Noise, Voltage Control Ring Oscillator Based on Pass Transistor Delay Cell Devi Singh Baghel 1, R.C. Gurjar 2 M.Tech Student, Department of Electronics and Instrumentation, Shri G.S. Institute of

More information

Model 305 Synchronous Countdown System

Model 305 Synchronous Countdown System Model 305 Synchronous Countdown System Introduction: The Model 305 pre-settable countdown electronics is a high-speed synchronous divider that generates an electronic trigger pulse, locked in time with

More information

Digital Dual Mixer Time Difference for Sub-Nanosecond Time Synchronization in Ethernet

Digital Dual Mixer Time Difference for Sub-Nanosecond Time Synchronization in Ethernet Digital Dual Mixer Time Difference for Sub-Nanosecond Time Synchronization in Ethernet Pedro Moreira University College London London, United Kingdom pmoreira@ee.ucl.ac.uk Pablo Alvarez pablo.alvarez@cern.ch

More information

Jitter in Digital Communication Systems, Part 1

Jitter in Digital Communication Systems, Part 1 Application Note: HFAN-4.0.3 Rev.; 04/08 Jitter in Digital Communication Systems, Part [Some parts of this application note first appeared in Electronic Engineering Times on August 27, 200, Issue 8.] AVAILABLE

More information

Engineering the Power Delivery Network

Engineering the Power Delivery Network C HAPTER 1 Engineering the Power Delivery Network 1.1 What Is the Power Delivery Network (PDN) and Why Should I Care? The power delivery network consists of all the interconnects in the power supply path

More information

Chlorophyll a/b-chlorophyll a sensor for the Biophysical Oceanographic Sensor Array

Chlorophyll a/b-chlorophyll a sensor for the Biophysical Oceanographic Sensor Array Intern Project Report Chlorophyll a/b-chlorophyll a sensor for the Biophysical Oceanographic Sensor Array Mary Ma Mentor: Zbigniew Kolber August 21 st, 2003 Introduction Photosynthetic organisms found

More information

Lock in time calculation Wenlan Wu (

Lock in time calculation Wenlan Wu ( Lock in time calculation Wenlan Wu (http://cmosedu.com/jbaker/students/wenlan/wenlan.htm) Figure 1 Charge pump PLL block diagram First, for the above feedback system, we can get the loop gain and transfer

More information

A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications

A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications Jinwen Xiao Angel Peterchev Jianhui Zhang Prof. Seth Sanders Power Electronics Group Dept. of

More information

CH85CH2202-0/85/ $1.00

CH85CH2202-0/85/ $1.00 SYNCHRONIZATION AND TRACKING WITH SYNCHRONOUS OSCILLATORS Vasil Uzunoglu and Marvin H. White Fairchild Industries Germantown, Maryland Lehigh University Bethlehem, Pennsylvania ABSTRACT A Synchronous Oscillator

More information

LINEAR IC APPLICATIONS

LINEAR IC APPLICATIONS 1 B.Tech III Year I Semester (R09) Regular & Supplementary Examinations December/January 2013/14 1 (a) Why is R e in an emitter-coupled differential amplifier replaced by a constant current source? (b)

More information

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, 2014 http://dx.doi.org/10.5573/jsts.2014.14.3.331 A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop

Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop Analysis of Phase Noise Profile of a 1.1 GHz Phase-locked Loop J. Handique, Member, IAENG and T. Bezboruah, Member, IAENG 1 Abstract We analyzed the phase noise of a 1.1 GHz phaselocked loop system for

More information

Noise Analysis of Phase Locked Loops

Noise Analysis of Phase Locked Loops Noise Analysis of Phase Locked Loops MUHAMMED A. IBRAHIM JALIL A. HAMADAMIN Electrical Engineering Department Engineering College Salahaddin University -Hawler ERBIL - IRAQ Abstract: - This paper analyzes

More information

This chapter discusses the design issues related to the CDR architectures. The

This chapter discusses the design issues related to the CDR architectures. The Chapter 2 Clock and Data Recovery Architectures 2.1 Principle of Operation This chapter discusses the design issues related to the CDR architectures. The bang-bang CDR architectures have recently found

More information

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal

Sudatta Mohanty, Madhusmita Panda, Dr Ashis kumar Mal International Journal of Scientific & Engineering Research, Volume 5, Issue 5, May-2014 45 Design and Performance Analysis of a Phase Locked Loop using Differential Voltage Controlled Oscillator Sudatta

More information

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters

Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters International Journal of Electronics and Electrical Engineering Vol. 2, No. 4, December, 2014 Delay-Locked Loop Using 4 Cell Delay Line with Extended Inverters Jefferson A. Hora, Vincent Alan Heramiz,

More information

Simulation technique for noise and timing jitter in phase locked loop

Simulation technique for noise and timing jitter in phase locked loop Simulation technique for noise and timing jitter in phase locked loop A.A TELBA, Assistant, EE dept. Fac. of Eng.King Saud University, Atelba@ksu.edu.sa J.M NORA, Associated Professor,University of Bradford,

More information

Circuit Design for a 2.2 GByte/s Memory Interface

Circuit Design for a 2.2 GByte/s Memory Interface Circuit Design for a 2.2 GByte/s Memory Interface Stefanos Sidiropoulos Work done at Rambus Inc with A. Abhyankar, C. Chen, K. Chang, TJ Chin, N. Hays, J. Kim, Y. Li, G. Tsang, A. Wong, D. Stark Increasing

More information

A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver. Hamid Rategh

A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver. Hamid Rategh A CMOS Frequency Synthesizer with an Injection-Locked Frequency Divider for a 5 GHz Wireless LAN Receiver Hamid Rategh Center for Integrated Systems Stanford University OUTLINE Motivation Introduction

More information

Jitter in Digital Communication Systems, Part 2

Jitter in Digital Communication Systems, Part 2 Application Note: HFAN-4.0.4 Rev.; 04/08 Jitter in Digital Communication Systems, Part AVAILABLE Jitter in Digital Communication Systems, Part Introduction A previous application note on jitter, HFAN-4.0.3

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

Converter IC for Cellular Phone. Mode Digitally-Controlled Buck. A 4 µa-quiescent-current Dual- Applications. Jianhui Zhang Prof.

Converter IC for Cellular Phone. Mode Digitally-Controlled Buck. A 4 µa-quiescent-current Dual- Applications. Jianhui Zhang Prof. A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications Jinwen Xiao Angel Peterchev Jianhui Zhang Prof. Seth Sanders Power Electronics Group Dept. of

More information

Research on Self-biased PLL Technique for High Speed SERDES Chips

Research on Self-biased PLL Technique for High Speed SERDES Chips 3rd International Conference on Machinery, Materials and Information Technology Applications (ICMMITA 2015) Research on Self-biased PLL Technique for High Speed SERDES Chips Meidong Lin a, Zhiping Wen

More information

UNIT III ANALOG MULTIPLIER AND PLL

UNIT III ANALOG MULTIPLIER AND PLL UNIT III ANALOG MULTIPLIER AND PLL PART A (2 MARKS) 1. What are the advantages of variable transconductance technique? [AUC MAY 2012] Good Accuracy Economical Simple to integrate Reduced error Higher bandwidth

More information

MOSA ELECTRONICS. Features. Description. MS8870 DTMF Receiver

MOSA ELECTRONICS. Features. Description. MS8870 DTMF Receiver Features Complete DTMF receiver Low power consumption Adjustable guard time Central Office Quality CMOS, Single 5V operation Description O rdering Information : 18 PIN DIP PACKAGE The is a complete DTMF

More information

Integrated Circuit Design for High-Speed Frequency Synthesis

Integrated Circuit Design for High-Speed Frequency Synthesis Integrated Circuit Design for High-Speed Frequency Synthesis John Rogers Calvin Plett Foster Dai ARTECH H O US E BOSTON LONDON artechhouse.com Preface XI CHAPTER 1 Introduction 1 1.1 Introduction to Frequency

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

Digital PWM IC Control Technology and Issues

Digital PWM IC Control Technology and Issues Digital PWM IC Control Technology and Issues Prof. Seth R. Sanders (sanders@eecs.berkeley.edu) Angel V. Peterchev Jinwen Xiao Jianhui Zhang EECS Department University of California, Berkeley Digital Control

More information

Spread Spectrum Frequency Timing Generator

Spread Spectrum Frequency Timing Generator Spread Spectrum Frequency Timing Generator Features Maximized EMI suppression using Cypress s Spread Spectrum technology Generates a spread spectrum copy of the provided input Selectable spreading characteristics

More information

Enhancing FPGA-based Systems with Programmable Oscillators

Enhancing FPGA-based Systems with Programmable Oscillators Enhancing FPGA-based Systems with Programmable Oscillators Jehangir Parvereshi, jparvereshi@sitime.com Sassan Tabatabaei, stabatabaei@sitime.com SiTime Corporation www.sitime.com 990 Almanor Ave., Sunnyvale,

More information

A Pulse-Based CMOS Ultra-Wideband Transmitter for WPANs

A Pulse-Based CMOS Ultra-Wideband Transmitter for WPANs A Pulse-Based CMOS Ultra-Wideband Transmitter for WPANs Murat Demirkan* Solid-State Circuits Research Laboratory University of California, Davis *Now with Agilent Technologies, Santa Clara, CA 03/20/2008

More information

A Wide Tuning Range (1 GHz-to-15 GHz) Fractional-N All-Digital PLL in 45nm SOI

A Wide Tuning Range (1 GHz-to-15 GHz) Fractional-N All-Digital PLL in 45nm SOI 7- A Wide Tuning Range ( GHz-to-5 GHz) Fractional-N All-Digital PLL in 45nm SOI Alexander Rylyakov, Jose Tierno, George English 2, Michael Sperling 2, Daniel Friedman IBM T. J. Watson Research Center Yorktown

More information

Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture. Advanced PLL Examples (Part I)

Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture. Advanced PLL Examples (Part I) Short Course On Phase-Locked Loops and Their Applications Day 5, AM Lecture Advanced PLL Examples (Part I) Michael Perrott August 15, 2008 Copyright 2008 by Michael H. Perrott All rights reserved. Outline

More information

레이저의주파수안정화방법및그응용 박상언 ( 한국표준과학연구원, 길이시간센터 )

레이저의주파수안정화방법및그응용 박상언 ( 한국표준과학연구원, 길이시간센터 ) 레이저의주파수안정화방법및그응용 박상언 ( 한국표준과학연구원, 길이시간센터 ) Contents Frequency references Frequency locking methods Basic principle of loop filter Example of lock box circuits Quantifying frequency stability Applications

More information

ECE4902 C Lab 5 MOSFET Common Source Amplifier with Active Load Bandwidth of MOSFET Common Source Amplifier: Resistive Load / Active Load

ECE4902 C Lab 5 MOSFET Common Source Amplifier with Active Load Bandwidth of MOSFET Common Source Amplifier: Resistive Load / Active Load ECE4902 C2012 - Lab 5 MOSFET Common Source Amplifier with Active Load Bandwidth of MOSFET Common Source Amplifier: Resistive Load / Active Load PURPOSE: The primary purpose of this lab is to measure the

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique

A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique Lei Lu, Lingbu Meng, Liang Zou, Hao Min and Zhangwen Tang Fudan University,

More information

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS

A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS A 2.2GHZ-2.9V CHARGE PUMP PHASE LOCKED LOOP DESIGN AND ANALYSIS Diary R. Sulaiman e-mail: diariy@gmail.com Salahaddin University, Engineering College, Electrical Engineering Department Erbil, Iraq Key

More information

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter

A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and ±35 ps Jitter University of Pennsylvania ScholarlyCommons epartmental Papers (ESE) epartment of Electrical & Systems Engineering 7-1-2003 A Fully Integrated CMOS Phase-Locked Loop With 30MHz to 2GHz Locking Range and

More information

Timing Noise Measurement of High-Repetition-Rate Optical Pulses

Timing Noise Measurement of High-Repetition-Rate Optical Pulses 564 Timing Noise Measurement of High-Repetition-Rate Optical Pulses Hidemi Tsuchida National Institute of Advanced Industrial Science and Technology 1-1-1 Umezono, Tsukuba, 305-8568 JAPAN Tel: 81-29-861-5342;

More information

A 4 Channel Waveform Sampling ASIC in 130 nm CMOS

A 4 Channel Waveform Sampling ASIC in 130 nm CMOS A 4 Channel Waveform Sampling ASIC in 130 nm CMOS E. Oberla, H. Grabas, J.F. Genat, H. Frisch Enrico Fermi Institute, University of Chicago K. Nishimura, G. Varner University of Hawai I Large Area Picosecond

More information

Low Voltage PLL Design Tolerant to Noise and Process Variations

Low Voltage PLL Design Tolerant to Noise and Process Variations Low Voltage PLL Design Tolerant to Noise and Process Variations SRC ICSS Program Review September 9, 2003 Un-Ku Moon and Karti Mayaram School of EECS Oregon State University, Corvallis OR Task ID: 1076.001

More information

Glossary of VCO terms

Glossary of VCO terms Glossary of VCO terms VOLTAGE CONTROLLED OSCILLATOR (VCO): This is an oscillator designed so the output frequency can be changed by applying a voltage to its control port or tuning port. FREQUENCY TUNING

More information

Designing of Charge Pump for Fast-Locking and Low-Power PLL

Designing of Charge Pump for Fast-Locking and Low-Power PLL Designing of Charge Pump for Fast-Locking and Low-Power PLL Swati Kasht, Sanjay Jaiswal, Dheeraj Jain, Kumkum Verma, Arushi Somani Abstract The specific property of fast locking of PLL is required in many

More information

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California A 4 GSample/s 8-bit ADC in 0.35 µm CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California 1 Outline Background Chip Architecture

More information

John A. McNeill. Worcester, MA.

John A. McNeill. Worcester, MA. Noise in Short Channel MOSFETs John A. McNeill Worcester Polytechnic Institute (WPI), Worcester, MA mcneill@ece.wpi.edu Overview Creativity in Analog / Mixed Signal IC Design DSM CMOS Effects on Analog

More information

A 45-nm SOI-CMOS Dual-PLL Processor Clock System for Multi-Protocol I/O

A 45-nm SOI-CMOS Dual-PLL Processor Clock System for Multi-Protocol I/O A 45-nm SOI-CMOS Dual-PLL Processor Clock System for Multi-Protocol I/O Dennis Fischette, Alvin Loke, Michael Oshima, Bruce Doyle, Roland Bakalski*, Richard DeSantis, Anand Thiruvengadam, Charles Wang,

More information

Introduction to CMOS RF Integrated Circuits Design

Introduction to CMOS RF Integrated Circuits Design VI. Phase-Locked Loops VI-1 Outline Introduction Basic Feedback Loop Theory Circuit Implementation VI-2 What is a PLL? A PLL is a negative feedback system where an oscillatorgenerated signal is phase and

More information

Phase-Locked Loop Engineering Handbook for Integrated Circuits

Phase-Locked Loop Engineering Handbook for Integrated Circuits Phase-Locked Loop Engineering Handbook for Integrated Circuits Stanley Goldman ARTECH H O U S E BOSTON LONDON artechhouse.com Preface Acknowledgments xiii xxi CHAPTER 1 Cetting Started with PLLs 1 1.1

More information

Digital Waveform with Jittered Edges. Reference edge. Figure 1. The purpose of this discussion is fourfold.

Digital Waveform with Jittered Edges. Reference edge. Figure 1. The purpose of this discussion is fourfold. Joe Adler, Vectron International Continuous advances in high-speed communication and measurement systems require higher levels of performance from system clocks and references. Performance acceptable in

More information

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem A report Submitted to Canopus Systems Inc. Zuhail Sainudeen and Navid Yazdi Arizona State University July 2001 1. Overview

More information

An Investigation into the Effects of Sampling on the Loop Response and Phase Noise in Phase Locked Loops

An Investigation into the Effects of Sampling on the Loop Response and Phase Noise in Phase Locked Loops An Investigation into the Effects of Sampling on the Loop Response and Phase oise in Phase Locked Loops Peter Beeson LA Techniques, Unit 5 Chancerygate Business Centre, Surbiton, Surrey Abstract. The majority

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

Concepts of Oscillators

Concepts of Oscillators Phase-Locked Loops Concepts of Oscillators Ching-Yuan Yang National Chung-Hsing University Department of Electrical Engineering Overview Readings B. Razavi, Design of Integrated Circuits for Optical Communications,

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator

Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator Analysis of phase Locked Loop using Ring Voltage Controlled Oscillator Abhishek Mishra Department of electronics &communication, suresh gyan vihar university Mahal jagatpura, jaipur (raj.), india Abstract-There

More information

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2 13.2 An MLSE Receiver for Electronic-Dispersion Compensation of OC-192 Fiber Links Hyeon-min Bae 1, Jonathan Ashbrook 1, Jinki Park 1, Naresh Shanbhag 2, Andrew Singer 2, Sanjiv Chopra 1 1 Intersymbol

More information

ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS

ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS ALL-DIGITAL FREQUENCY SYNTHESIZER IN DEEP-SUBMICRON CMOS ROBERT BOGDAN STASZEWSKI Texas Instruments PORAS T. BALSARA University of Texas at Dallas WILEY- INTERSCIENCE A JOHN WILEY & SONS, INC., PUBLICATION

More information

ECE 6770 FINAL PROJECT

ECE 6770 FINAL PROJECT ECE 6770 FINAL PROJECT POINT TO POINT COMMUNICATION SYSTEM Submitted By: Omkar Iyer (Omkar_iyer82@yahoo.com) Vamsi K. Mudarapu (m_vamsi_krishna@yahoo.com) MOTIVATION Often in the real world we have situations

More information

A PC-BASED TIME INTERVAL COUNTER WITH 200 PS RESOLUTION

A PC-BASED TIME INTERVAL COUNTER WITH 200 PS RESOLUTION A PC-BASED TIME INTERVAL COUNTER WITH 200 PS RESOLUTION Józef Kalisz and Ryszard Szplet Military University of Technology Kaliskiego 2, 00-908 Warsaw, Poland Tel: +48 22 6839016; Fax: +48 22 6839038 E-mail:

More information

HM9270C HM9270D HM 9270C/D DTMF RECEIVER. General Description. Features. Pin Configurations. * Connect to V SS. V DD St/GT ESt StD Q4 Q3 Q2 Q1 TOE

HM9270C HM9270D HM 9270C/D DTMF RECEIVER. General Description. Features. Pin Configurations. * Connect to V SS. V DD St/GT ESt StD Q4 Q3 Q2 Q1 TOE General Description The HM 9270C/D is a complete DTMF receiver integrating both the bandsplit filter and digital decoder functions. The filter section uses switched capacitor techniques for high- and low-group

More information

A Simple On-Chip Automatic Tuning Circuit for Continuous-Time Filter

A Simple On-Chip Automatic Tuning Circuit for Continuous-Time Filter Int. J. Communications, Network and System Sciences, 010, 3, 66-71 doi:10.436/ijcns.010.31009 Published Online January 010 (http://www.scirp.org/journal/ijcns/). A Simple On-Chip Automatic Tuning Circuit

More information

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 23: PLLs Announcements Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class Open book open notes Project

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission.

15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission. 15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission. H. Noguchi, T. Tateyama, M. Okamoto, H. Uchida, M. Kimura, K. Takahashi Fiber

More information

On Modern and Historical Short-Term Frequency Stability Metrics for Frequency Sources

On Modern and Historical Short-Term Frequency Stability Metrics for Frequency Sources On Modern and Historical Short-Term Frequency Stability Metrics for Frequency Sources Michael S. McCorquodale Mobius Microsystems, Inc. Sunnyvale, CA USA 9486 mccorquodale@mobiusmicro.com Richard B. Brown

More information

Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT

Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT Case5:08-cv-00877-PSG Document578-15 Filed09/17/13 Page1 of 11 EXHIBIT N ISSCC 2004 Case5:08-cv-00877-PSG / SESSION 26 / OPTICAL AND Document578-15 FAST I/O / 26.10 Filed09/17/13 Page2 of 11 26.10 A PVT

More information

A 4-Channel Fast Waveform Sampling ASIC in 130 nm CMOS

A 4-Channel Fast Waveform Sampling ASIC in 130 nm CMOS A 4-Channel Fast Waveform Sampling ASIC in 130 nm CMOS E. Oberla, H. Grabas, M. Bogdan, J.F. Genat, H. Frisch Enrico Fermi Institute, University of Chicago K. Nishimura, G. Varner University of Hawai I

More information

Satellite Communications: Part 4 Signal Distortions & Errors and their Relation to Communication Channel Specifications. Howard Hausman April 1, 2010

Satellite Communications: Part 4 Signal Distortions & Errors and their Relation to Communication Channel Specifications. Howard Hausman April 1, 2010 Satellite Communications: Part 4 Signal Distortions & Errors and their Relation to Communication Channel Specifications Howard Hausman April 1, 2010 Satellite Communications: Part 4 Signal Distortions

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

Peak Reducing EMI Solution

Peak Reducing EMI Solution Peak Reducing EMI Solution Features Cypress PREMIS family offering enerates an EMI optimized clocking signal at the output Selectable input to output frequency Single 1.% or.% down or center spread output

More information

CHAPTER. delta-sigma modulators 1.0

CHAPTER. delta-sigma modulators 1.0 CHAPTER 1 CHAPTER Conventional delta-sigma modulators 1.0 This Chapter presents the traditional first- and second-order DSM. The main sources for non-ideal operation are described together with some commonly

More information

Speed Control of DC Motor Using Phase-Locked Loop

Speed Control of DC Motor Using Phase-Locked Loop Speed Control of DC Motor Using Phase-Locked Loop Authors Shaunak Vyas Darshit Shah Affiliations B.Tech. Electrical, Nirma University, Ahmedabad E-mail shaunak_vyas1@yahoo.co.in darshit_shah1@yahoo.co.in

More information

Characterize Phase-Locked Loop Systems Using Real Time Oscilloscopes

Characterize Phase-Locked Loop Systems Using Real Time Oscilloscopes Characterize Phase-Locked Loop Systems Using Real Time Oscilloscopes Introduction Phase-locked loops (PLL) are frequently used in communication applications. For example, they recover the clock from digital

More information