A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping

Size: px
Start display at page:

Download "A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping"

Transcription

1 A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping Jie Gu, Hanyong Eom and Chris H. Kim Department of Electrical and Computer Engineering University of Minnesota, Minneapolis 1

2 Outline Introduction to resonant supply noise Proposed switched decap circuit Simulated supply noise suppression Test chip implementation Supply noise measurement results Conclusion 2

3 IC Power Supply: Underdamped RLC Network On-Chip Power Grid N. Na, IBM, ECTC 2004 Resonant noise exhibits large magnitude and long duration Causes timing violation, clock skew and reliability issue Excited by µp loop operation or sudden current spike 3

4 Previous Passive Damping Techniques Add on-chip decap Add on-chip resistance Q = 1 R wire L C G. Ji, et al., Intel, T. Adv. Packaging, 2005 Increase R and C to bring down the Q factor Decap consumes large area and gate leakage Resistive damping worsens IR drop 4

5 Previous Active Damping Techniques J. Xu et al., Intel, ISSCC 2007 Detect resonant noise and clamp the overshoot 1mA static current per 3mA load Hard to control trip points under PVT variation Only compensates voltage overshoot 5

6 Previous Active Damping Techniques M. Ang et al., Sun Microsystems, ISSCC 2000 Switching decaps to boost the total charge 5mA quiescent current per regulator Limited swing and PVT sensitivity in opamp 6

7 Principle of Switched Decap Circuit Q swdecap =0.5C V dd +C V dd /2 Q pdecap =2C V dd 5 13X charge boosting factor (i.e. Q swdecap /Q pdecap ) 7

8 Proposed Digital Switched Decap Digital resonant detection circuit Simple implementation for digital ICs Low static power Programmable V SW, PVT insensitive design 8

9 Bandpass and PVT Insensitive Design RC circuit & delay line realize bandpass filter 8mV worst-case V SW variation 9

10 Adjustability of Switching Threshold V SW V SW can be adjusted to avoid unnecessary switching V SW is approximately proportional to R value R implemented using a programmable MOSFET array 10

11 Simulated Switched Decap Performance Resonance is suppressed by 7dB More than 8X decap boost for resonant damping 11

12 Simulated First-droop Regulation 0.13μm, 1.2V Both magnitude and oscillation time are reduced for first-droop noise 6X+ decap boost compared with passive decap 12

13 Test Chip Organization 0.13μm, 1.2V Two types of noise generation circuits Selection of swdecap value: 100pF, 200pF, 300pF On-chip sensor to measure differential noise 13

14 Resonance Generation Logic Creates harmonics at resonant frequency 14

15 Supply Noise Measurements 640MHz clock gated by 1/16 5.5dB noise reduction using 200pF swdecap f res at 40MHz lower than expected due to package inductance 15

16 Frequency Domain Measurements 9.8dB suppression using 300pF swdecap No significant impact on non-resonant frequency noise 16

17 Adjusting Switching Threshold Noise magnitude more sensitive to swdecap value than V SW 17

18 Comparison with Passive Damping Swdecap Value Resonant Suppression Equiv. Passive Decap Decap Boost Equiv. Damp. Resistance 100pF 2.2dB 500pF 5X 0.1Ω 200pF 5.5dB 1500pF 7.5X 0.4Ω 300pF 9.8dB 3500pF 11X 1Ω 5 11X boost over passive decaps Equivalent to 0.1-1Ω resistive damping Passive resistor aggravates IR drop 18

19 Performance Comparison Active Damping Ckt.* This work Technology 90nm 130nm Static Current 2.42mA 0.54mA Load Current suppressed 8.71mA (3X I static ) 33mA (61X I static ) Regulator Area 59x20μm 2 190x220μm 2 First Droop Regulation No (including 300pF) Yes Analog Opamp Yes No * J. Xu et al., Intel, ISSCC

20 Die Photograph and Chip Summary Technology Quiescent Current Regulation Frequency Regulator Area (w/o decap) Regulator Area (w/ 300pF decap) Total Die Area 0.13μm Logic CMOS 0.54mA MHz 100μmx70μm 190μmx220μm 0.9mmx1.8mm 9X less static current compared with prev. swdecap design Swdecap serves as passive decap under norm. condition Up to 11X decap boost compared with passive decap Negligible power consumed for decap switching (1.2%) 20

21 Conclusions Resonant supply noise impacts circuit performance and reliability A switched decap circuit is proposed Low power resonant detection circuit Digital-friendly implementation Programmable and PVT insensitive switching threshold 0.13μm test chip implemented 5 11X boost in effective decap value Up to 9.8dB resonant noise suppression 9X reduction in static current compared with previous switched decap design 21

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 6, JUNE

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 6, JUNE IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 44, NO. 6, JUNE 2009 1765 On-Chip Supply Noise Regulation Using a Low-Power Digital Switched Decoupling Capacitor Circuit Jie Gu, Member, IEEE, Hanyong Eom, and

More information

Active Decap Design Considerations for Optimal Supply Noise Reduction

Active Decap Design Considerations for Optimal Supply Noise Reduction Active Decap Design Considerations for Optimal Supply Noise Reduction Xiongfei Meng and Resve Saleh Dept. of ECE, University of British Columbia, 356 Main Mall, Vancouver, BC, V6T Z4, Canada E-mail: {xmeng,

More information

/$ IEEE

/$ IEEE 292 IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 17, NO. 2, FEBRUARY 2009 Design and Implementation of Active Decoupling Capacitor Circuits for Power Supply Regulation in Digital

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection Somnath Kundu 1, Bongjin Kim 1,2, Chris H. Kim 1 1

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks

An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks An Active Decoupling Capacitance Circuit for Inductive Noise Suppression in Power Supply Networks Sanjay Pant, David Blaauw University of Michigan, Ann Arbor, MI Abstract The placement of on-die decoupling

More information

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 23: PLLs Announcements Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class Open book open notes Project

More information

A Multi-phase VCO Quantizer based Adaptive Digital LDO in 65nm CMOS Technology

A Multi-phase VCO Quantizer based Adaptive Digital LDO in 65nm CMOS Technology A Multi-phase VCO Quantizer based Adaptive Digital LDO in 65nm CMOS Technology Somnath Kundu and Chris H. Kim University of Minnesota Dept. of ECE 1 Presentation Outline Analog vs. digital Low DropOut

More information

Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits

Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits Tae-Hyoung Kim, Randy Persaud and Chris H. Kim Department of Electrical and Computer Engineering

More information

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible A Forward-Body-Bias Tuned 450MHz Gm-C 3 rd -Order Low-Pass Filter in 28nm UTBB FD-SOI with >1dBVp IIP3 over a 0.7-to-1V Supply Joeri Lechevallier 1,2, Remko Struiksma 1, Hani Sherry 2, Andreia Cathelin

More information

Microcircuit Electrical Issues

Microcircuit Electrical Issues Microcircuit Electrical Issues Distortion The frequency at which transmitted power has dropped to 50 percent of the injected power is called the "3 db" point and is used to define the bandwidth of the

More information

Exclusive Technology Feature. Integrated Driver Shrinks Class D Audio Amplifiers. Audio Driver Features. ISSUE: November 2009

Exclusive Technology Feature. Integrated Driver Shrinks Class D Audio Amplifiers. Audio Driver Features. ISSUE: November 2009 ISSUE: November 2009 Integrated Driver Shrinks Class D Audio Amplifiers By Jun Honda, International Rectifier, El Segundo, Calif. From automotive entertainment to home theater systems, consumers are demanding

More information

A GSM Band Low-Power LNA 1. LNA Schematic

A GSM Band Low-Power LNA 1. LNA Schematic A GSM Band Low-Power LNA 1. LNA Schematic Fig1.1 Schematic of the Designed LNA 2. Design Summary Specification Required Simulation Results Peak S21 (Gain) > 10dB >11 db 3dB Bandwidth > 200MHz (

More information

Chapter 13 Oscillators and Data Converters

Chapter 13 Oscillators and Data Converters Chapter 13 Oscillators and Data Converters 13.1 General Considerations 13.2 Ring Oscillators 13.3 LC Oscillators 13.4 Phase Shift Oscillator 13.5 Wien-Bridge Oscillator 13.6 Crystal Oscillators 13.7 Chapter

More information

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems 1 Eun-Jung Yoon, 2 Kangyeob Park, 3* Won-Seok Oh 1, 2, 3 SoC Platform Research Center, Korea Electronics Technology

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

EUA2011A. Low EMI, Ultra-Low Distortion, 2.5-W Mono Filterless Class-D Audio Power Amplifier DESCRIPTION FEATURES APPLICATIONS

EUA2011A. Low EMI, Ultra-Low Distortion, 2.5-W Mono Filterless Class-D Audio Power Amplifier DESCRIPTION FEATURES APPLICATIONS Low EMI, Ultra-Low Distortion, 2.5-W Mono Filterless Class-D Audio Power Amplifier DESCRIPTION The EUA2011A is a high efficiency, 2.5W mono class-d audio power amplifier. A new developed filterless PWM

More information

EUP A,40V,200KHz Step-Down Converter

EUP A,40V,200KHz Step-Down Converter 3A,40V,200KHz Step-Down Converter DESCRIPTION The is current mode, step-down switching regulator capable of driving 3A continuous load with excellent line and load regulation. The operates with an input

More information

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs

Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law. Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs Probabilistic and Variation- Tolerant Design: Key to Continued Moore's Law Tanay Karnik, Shekhar Borkar, Vivek De Circuit Research, Intel Labs 1 Outline Variations Process, supply voltage, and temperature

More information

A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications

A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications Jinwen Xiao Angel Peterchev Jianhui Zhang Prof. Seth Sanders Power Electronics Group Dept. of

More information

A 98dB 3.3V 28mW-per-channel multibit audio DAC in a standard 0.35µm CMOS technology

A 98dB 3.3V 28mW-per-channel multibit audio DAC in a standard 0.35µm CMOS technology A 98dB 3.3V 28mW-per-channel multibit audio DAC in a standard 0.35µm CMOS technology M. Annovazzi, V. Colonna, G. Gandolfi, STMicroelectronics Via Tolomeo, 2000 Cornaredo (MI), Italy vittorio.colonna@st.com

More information

GATE SOLVED PAPER - IN

GATE SOLVED PAPER - IN YEAR 202 ONE MARK Q. The i-v characteristics of the diode in the circuit given below are : v -. A v 0.7 V i 500 07 $ = * 0 A, v < 0.7 V The current in the circuit is (A) 0 ma (C) 6.67 ma (B) 9.3 ma (D)

More information

DUAL STEPPER MOTOR DRIVER

DUAL STEPPER MOTOR DRIVER DUAL STEPPER MOTOR DRIVER GENERAL DESCRIPTION The is a switch-mode (chopper), constant-current driver with two channels: one for each winding of a two-phase stepper motor. is equipped with a Disable input

More information

Introduction to CMOS RF Integrated Circuits Design

Introduction to CMOS RF Integrated Circuits Design VI. Phase-Locked Loops VI-1 Outline Introduction Basic Feedback Loop Theory Circuit Implementation VI-2 What is a PLL? A PLL is a negative feedback system where an oscillatorgenerated signal is phase and

More information

A Flying-Domain DC-DC Converter Powering a Cortex-M0 Processor with 90.8% Efficiency

A Flying-Domain DC-DC Converter Powering a Cortex-M0 Processor with 90.8% Efficiency A Flying-Domain DC-DC Converter Powering a Cortex-M0 Processor with 90.8% Efficiency Loai G. Salem, John G. Louie, and Patrick P. Mercier University of California, San Diego ISSCC 2016 Independent supply

More information

Difference between BJTs and FETs. Junction Field Effect Transistors (JFET)

Difference between BJTs and FETs. Junction Field Effect Transistors (JFET) Difference between BJTs and FETs Transistors can be categorized according to their structure, and two of the more commonly known transistor structures, are the BJT and FET. The comparison between BJTs

More information

Driver Amplifier for 7 Tesla MRI Smart Power Amplifier

Driver Amplifier for 7 Tesla MRI Smart Power Amplifier Driver Amplifier for 7 Tesla MRI Smart Power Amplifier presented by Kevin Kolpatzeck supervised by Prof. Dr.-Ing. Klaus Solbach Institute of Microwave and RF Technology University of Duisburg Essen Contents

More information

HT32 Series Crystal Oscillator, ADC Design Note and PCB Layout Guide

HT32 Series Crystal Oscillator, ADC Design Note and PCB Layout Guide HT32 Series rystal Oscillator, AD Design Note and PB Layout Guide HT32 Series rystal Oscillator, AD Design Note and PB Layout Guide D/N:AN0301E Introduction This application note provides some hardware

More information

ML4818 Phase Modulation/Soft Switching Controller

ML4818 Phase Modulation/Soft Switching Controller Phase Modulation/Soft Switching Controller www.fairchildsemi.com Features Full bridge phase modulation zero voltage switching circuit with programmable ZV transition times Constant frequency operation

More information

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits

ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits ENEE307 Lab 7 MOS Transistors 2: Small Signal Amplifiers and Digital Circuits In this lab, we will be looking at ac signals with MOSFET circuits and digital electronics. The experiments will be performed

More information

PIN CONFIGURATIONS FEATURES APPLICATION ORDERING INFORMATION. FE, N Packages

PIN CONFIGURATIONS FEATURES APPLICATION ORDERING INFORMATION. FE, N Packages DESCRIPTION The are monolithic sample-and-hold circuits which utilize high-voltage ion-implant JFET technology to obtain ultra-high DC accuracy with fast acquisition of signal and low droop rate. Operating

More information

CMOS RFIC Design for Direct Conversion Receivers. Zhaofeng ZHANG Supervisor: Dr. Jack Lau

CMOS RFIC Design for Direct Conversion Receivers. Zhaofeng ZHANG Supervisor: Dr. Jack Lau CMOS RFIC Design for Direct Conversion Receivers Zhaofeng ZHANG Supervisor: Dr. Jack Lau Outline of Presentation Background Introduction Thesis Contributions Design Issues and Solutions A Direct Conversion

More information

GATE: Electronics MCQs (Practice Test 1 of 13)

GATE: Electronics MCQs (Practice Test 1 of 13) GATE: Electronics MCQs (Practice Test 1 of 13) 1. Removing bypass capacitor across the emitter leg resistor in a CE amplifier causes a. increase in current gain b. decrease in current gain c. increase

More information

1.5MHz, 2A Synchronous Step-Down Regulator

1.5MHz, 2A Synchronous Step-Down Regulator 1.5MHz, 2A Synchronous Step-Down Regulator General Description The is a high efficiency current mode synchronous buck PWM DC-DC regulator. The internal generated 0.6V precision feedback reference voltage

More information

Multiplexer for Capacitive sensors

Multiplexer for Capacitive sensors DATASHEET Multiplexer for Capacitive sensors Multiplexer for Capacitive Sensors page 1/7 Features Very well suited for multiple-capacitance measurement Low-cost CMOS Low output impedance Rail-to-rail digital

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements EE290C - Spring 04 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture 11 Components Phase-Locked Loops Viterbi Decoder Borivoje Nikolic March 2, 04. Announcements Homework #2 due

More information

UPC. 6. Switching noise avoidance. 7. Qualitative guidelines for onchip Power Distribution Network design. 8. References

UPC. 6. Switching noise avoidance. 7. Qualitative guidelines for onchip Power Distribution Network design. 8. References 6. Switching noise avoidance 7. Qualitative guidelines for onchip Power Distribution Network design 8. References Switching noise avoidance: design Packages: Inductance dominates at high frequency Package

More information

Integrated Microsystems Laboratory. Franco Maloberti

Integrated Microsystems Laboratory. Franco Maloberti University of Pavia Integrated Microsystems Laboratory Power Efficient Data Convertes Franco Maloberti franco.maloberti@unipv.it OUTLINE Introduction Managing the noise power budget Challenges of State-of-the-art

More information

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter

Fractional- N PLL with 90 Phase Shift Lock and Active Switched- Capacitor Loop Filter J. Park, F. Maloberti: "Fractional-N PLL with 90 Phase Shift Lock and Active Switched-Capacitor Loop Filter"; Proc. of the IEEE Custom Integrated Circuits Conference, CICC 2005, San Josè, 21 September

More information

Interface Electronic Circuits

Interface Electronic Circuits Lecture (5) Interface Electronic Circuits Part: 1 Prof. Kasim M. Al-Aubidy Philadelphia University-Jordan AMSS-MSc Prof. Kasim Al-Aubidy 1 Interface Circuits: An interface circuit is a signal conditioning

More information

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it.

Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Thank you! Thank you for downloading one of our ANSYS whitepapers we hope you enjoy it. Have questions? Need more information? Please don t hesitate to contact us! We have plenty more where this came from.

More information

EUA W Mono Filterless Class-D Audio Power Amplifier DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit

EUA W Mono Filterless Class-D Audio Power Amplifier DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit 3-W Mono Filterless Class-D Audio Power Amplifier DESCRIPTION The EUA2011 is a high efficiency, 3W mono class-d audio power amplifier. A low noise, filterless PWM architecture eliminates the output filter,

More information

Precision, 16 MHz CBFET Op Amp AD845

Precision, 16 MHz CBFET Op Amp AD845 a FEATURES Replaces Hybrid Amplifiers in Many Applications AC PERFORMANCE: Settles to 0.01% in 350 ns 100 V/ s Slew Rate 12.8 MHz Min Unity Gain Bandwidth 1.75 MHz Full Power Bandwidth at 20 V p-p DC PERFORMANCE:

More information

Silicon-Gate Switching Functions Optimize Data Acquisition Front Ends

Silicon-Gate Switching Functions Optimize Data Acquisition Front Ends Silicon-Gate Switching Functions Optimize Data Acquisition Front Ends AN03 The trend in data acquisition is moving toward ever-increasing accuracy. Twelve-bit resolution is now the norm, and sixteen bits

More information

Low Cost 100 g Single Axis Accelerometer with Analog Output ADXL190*

Low Cost 100 g Single Axis Accelerometer with Analog Output ADXL190* a FEATURES imems Single Chip IC Accelerometer 40 Milli-g Resolution Low Power ma 400 Hz Bandwidth +5.0 V Single Supply Operation 000 g Shock Survival APPLICATIONS Shock and Vibration Measurement Machine

More information

Design Considerations for Highly Integrated 3D SiP for Mobile Applications

Design Considerations for Highly Integrated 3D SiP for Mobile Applications Design Considerations for Highly Integrated 3D SiP for Mobile Applications FDIP, CA October 26, 2008 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr Contents I. Market and future direction

More information

Decoupling capacitor placement

Decoupling capacitor placement Decoupling capacitor placement Covered in this topic: Introduction Which locations need decoupling caps? IC decoupling Capacitor lumped model How to maximize the effectiveness of a decoupling cap Parallel

More information

A fully autonomous power management interface for frequency upconverting harvesters using load decoupling and inductor sharing

A fully autonomous power management interface for frequency upconverting harvesters using load decoupling and inductor sharing Journal of Physics: Conference Series PAPER OPEN ACCESS A fully autonomous power management interface for frequency upconverting harvesters using load decoupling and inductor sharing To cite this article:

More information

ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS

ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS Aleksandar Radić, S. M. Ahsanuzzaman, Amir Parayandeh, and Aleksandar Prodić

More information

Wideband On-die Power Supply Decoupling in High Performance DRAM

Wideband On-die Power Supply Decoupling in High Performance DRAM Wideband On-die Power Supply Decoupling in High Performance DRAM Timothy M. Hollis, Senior Member of the Technical Staff Abstract: An on-die decoupling scheme, enabled by memory array cell technology,

More information

RT9167/A. Low-Noise, Fixed Output Voltage, 300mA/500mA LDO Regulator Features. General Description. Applications. Ordering Information RT9167/A-

RT9167/A. Low-Noise, Fixed Output Voltage, 300mA/500mA LDO Regulator Features. General Description. Applications. Ordering Information RT9167/A- General Description The RT9167/A is a 3mA/mA low dropout and low noise micropower regulator suitable for portable applications. The output voltages range from 1.V to.v in 1mV increments and 2% accuracy.

More information

Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery

Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery Active and Passive Techniques for Noise Sensitive Circuits in Integrated Voltage Regulator based Microprocessor Power Delivery Amit K. Jain, Sameer Shekhar, Yan Z. Li Client Computing Group, Intel Corporation

More information

A 24 V Chopper Offset-Stabilized Operational Amplifier with Symmetrical RC Notch Filters having sub-10 µv offset and over-120db CMRR

A 24 V Chopper Offset-Stabilized Operational Amplifier with Symmetrical RC Notch Filters having sub-10 µv offset and over-120db CMRR ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 20, Number 4, 2017, 301 312 A 24 V Chopper Offset-Stabilized Operational Amplifier with Symmetrical RC Notch Filters having sub-10 µv offset

More information

LM2900 LM3900 LM3301 Quad Amplifiers

LM2900 LM3900 LM3301 Quad Amplifiers LM2900 LM3900 LM3301 Quad Amplifiers General Description The LM2900 series consists of four independent dual input internally compensated amplifiers which were designed specifically to operate off of a

More information

Oscillators. An oscillator may be described as a source of alternating voltage. It is different than amplifier.

Oscillators. An oscillator may be described as a source of alternating voltage. It is different than amplifier. Oscillators An oscillator may be described as a source of alternating voltage. It is different than amplifier. An amplifier delivers an output signal whose waveform corresponds to the input signal but

More information

1.5MHz, 3A Synchronous Step-Down Regulator

1.5MHz, 3A Synchronous Step-Down Regulator 1.5MHz, 3A Synchronous Step-Down Regulator FP6165 General Description The FP6165 is a high efficiency current mode synchronous buck PWM DC-DC regulator. The internal generated 0.6V precision feedback reference

More information

Input Stage Concerns. APPLICATION NOTE 656 Design Trade-Offs for Single-Supply Op Amps

Input Stage Concerns. APPLICATION NOTE 656 Design Trade-Offs for Single-Supply Op Amps Maxim/Dallas > App Notes > AMPLIFIER AND COMPARATOR CIRCUITS Keywords: single-supply, op amps, amplifiers, design, trade-offs, operational amplifiers Apr 03, 2000 APPLICATION NOTE 656 Design Trade-Offs

More information

A High-Driving Class-AB Buffer Amplifier with a New Pseudo Source Follower

A High-Driving Class-AB Buffer Amplifier with a New Pseudo Source Follower A High-Driving Class-AB Buffer Amplifier with a New Pseudo Source Follower Chih-Wen Lu, Yen-Chih Shen and Meng-Lieh Sheu Abstract A high-driving class-ab buffer amplifier, which consists of a high-gain

More information

Experiment 1: Amplifier Characterization Spring 2019

Experiment 1: Amplifier Characterization Spring 2019 Experiment 1: Amplifier Characterization Spring 2019 Objective: The objective of this experiment is to develop methods for characterizing key properties of operational amplifiers Note: We will be using

More information

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs

An Improved Bandgap Reference (BGR) Circuit with Constant Voltage and Current Outputs International Journal of Research in Engineering and Innovation Vol-1, Issue-6 (2017), 60-64 International Journal of Research in Engineering and Innovation (IJREI) journal home page: http://www.ijrei.com

More information

Dual-Axis, High-g, imems Accelerometers ADXL278

Dual-Axis, High-g, imems Accelerometers ADXL278 FEATURES Complete dual-axis acceleration measurement system on a single monolithic IC Available in ±35 g/±35 g, ±50 g/±50 g, or ±70 g/±35 g output full-scale ranges Full differential sensor and circuitry

More information

3-PHASE BRIDGE DRIVER

3-PHASE BRIDGE DRIVER Data Sheet No. PD-6.33E IR2132 Features n Floating channel designed for bootstrap operation Fully operational to +6V Tolerant to negative transient voltage dv/dt immune n Gate drive supply range from 1

More information

Effect of Aging on Power Integrity of Digital Integrated Circuits

Effect of Aging on Power Integrity of Digital Integrated Circuits Effect of Aging on Power Integrity of Digital Integrated Circuits A. Boyer, S. Ben Dhia Alexandre.boyer@laas.fr Sonia.bendhia@laas.fr 1 May 14 th, 2013 Introduction and context Long time operation Harsh

More information

A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier

A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier A Switched-Capacitor Band-Pass Biquad Filter Using a Simple Quasi-unity Gain Amplifier Hugo Serra, Nuno Paulino, and João Goes Centre for Technologies and Systems (CTS) UNINOVA Dept. of Electrical Engineering

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 10.8 10Gb/s Limiting Amplifier and Laser/Modulator Driver in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi Electrical Engineering

More information

Advanced Operational Amplifiers

Advanced Operational Amplifiers IsLab Analog Integrated Circuit Design OPA2-47 Advanced Operational Amplifiers כ Kyungpook National University IsLab Analog Integrated Circuit Design OPA2-1 Advanced Current Mirrors and Opamps Two-stage

More information

D8020. Universal High Integration Led Driver Description. Features. Typical Applications

D8020. Universal High Integration Led Driver Description. Features. Typical Applications Universal High Integration Led Driver Description The D8020 is a highly integrated Pulse Width Modulated (PWM) high efficiency LED driver IC. It requires as few as 6 external components. This IC allows

More information

1-Input/4-Output Video Distribution Amplifiers MAX4137/MAX4138

1-Input/4-Output Video Distribution Amplifiers MAX4137/MAX4138 -00; Rev 0; / EVALUATION KIT AVAILABLE General Description The / are -input/-output voltagefeedback amplifiers that combine high speed with fast switching for video distribution applications. The is internally

More information

7 Designing with Logic

7 Designing with Logic DIGITAL SYSTEM DESIGN 7.1 DIGITAL SYSTEM DESIGN 7.2 7.1 Device Family Overview 7 Designing with Logic ALVC Family The highest performance 3.3-V bus-interface in 0.6-µ CMOS technology Typical propagation

More information

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers

04/29/03 EE371 Power Delivery D. Ayers 1. VLSI Power Delivery. David Ayers 04/29/03 EE371 Power Delivery D. Ayers 1 VLSI Power Delivery David Ayers 04/29/03 EE371 Power Delivery D. Ayers 2 Outline Die power delivery Die power goals Typical processor power grid Transistor power

More information

Type Ordering Code Package TDA Q67000-A5168 P-DIP-18-5

Type Ordering Code Package TDA Q67000-A5168 P-DIP-18-5 Video Modulator for FM-Audio TDA 5666-5 Preliminary Data Bipolar IC Features FM-audio modulator Sync level clamping of video input signal Controlling of peak white value Continuous adjustment of modulation

More information

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth

A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth LETTER IEICE Electronics Express, Vol.11, No.2, 1 9 A 42 fj 8-bit 1.0-GS/s folding and interpolating ADC with 1 GHz signal bandwidth Mingshuo Wang a), Fan Ye, Wei Li, and Junyan Ren b) State Key Laboratory

More information

EUP A,30V,500KHz Step-Down Converter DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit

EUP A,30V,500KHz Step-Down Converter DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit 5A,30V,500KHz Step-Down Converter DESCRIPTION The is current mode, step-down switching regulator capable of driving 5A continuous load with excellent line and load regulation. The operates with an input

More information

Josephson Circuits I. JJ RCSJ Model as Circuit Element

Josephson Circuits I. JJ RCSJ Model as Circuit Element Josephson Circuits I. Outline 1. RCSJ Model Review 2. Response to DC and AC Drives Voltage standard 3. The DC SQUID 4. Tunable Josephson Junction October 27, 2005 JJ RCSJ Model as Circuit Element Please

More information

Converter IC for Cellular Phone. Mode Digitally-Controlled Buck. A 4 µa-quiescent-current Dual- Applications. Jianhui Zhang Prof.

Converter IC for Cellular Phone. Mode Digitally-Controlled Buck. A 4 µa-quiescent-current Dual- Applications. Jianhui Zhang Prof. A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications Jinwen Xiao Angel Peterchev Jianhui Zhang Prof. Seth Sanders Power Electronics Group Dept. of

More information

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3

PC accounts for 353 Cory will be created early next week (when the class list is completed) Discussions & Labs start in Week 3 EE141 Fall 2005 Lecture 2 Design Metrics Admin Page Everyone should have a UNIX account on Cory! This will allow you to run HSPICE! If you do not have an account, check: http://www-inst.eecs.berkeley.edu/usr/

More information

LF442 Dual Low Power JFET Input Operational Amplifier

LF442 Dual Low Power JFET Input Operational Amplifier LF442 Dual Low Power JFET Input Operational Amplifier General Description The LF442 dual low power operational amplifiers provide many of the same AC characteristics as the industry standard LM1458 while

More information

Dual Passive Input Digital Isolator. Features. Applications

Dual Passive Input Digital Isolator. Features. Applications Dual Passive Input Digital Isolator Functional Diagram Each device in the dual channel IL611 consists of a coil, vertically isolated from a GMR Wheatstone bridge by a polymer dielectric layer. A magnetic

More information

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O

Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Digital Integrated Circuits Lecture 20: Package, Power, Clock, and I/O Chih-Wei Liu VLSI Signal Processing LAB National Chiao Tung University cwliu@twins.ee.nctu.edu.tw DIC-Lec20 cwliu@twins.ee.nctu.edu.tw

More information

100-MHz Pentium II Clock Synthesizer/Driver with Spread Spectrum for Mobile or Desktop PCs

100-MHz Pentium II Clock Synthesizer/Driver with Spread Spectrum for Mobile or Desktop PCs 0 Features CY2280 100-MHz Pentium II Clock Synthesizer/Driver with Spread Spectrum for Mobile or Desktop PCs Mixed 2.5V and 3.3V operation Clock solution for Pentium II, and other similar processor-based

More information

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application

Bootstrapped ring oscillator with feedforward inputs for ultra-low-voltage application This article has been accepted and published on J-STAGE in advance of copyediting. Content is final as presented. IEICE Electronics Express, Vol.* No.*,*-* Bootstrapped ring oscillator with feedforward

More information

1.5MHz, 800mA Synchronous Step-Down Regulator

1.5MHz, 800mA Synchronous Step-Down Regulator 1.5MHz, 800mA Synchronous Step-Down Regulator General Description The is a high efficiency current mode synchronous buck PWM DC-DC regulator. The internal generated 0.6V precision feedback reference voltage

More information

High Performance Signaling. Jan Rabaey

High Performance Signaling. Jan Rabaey High Performance Signaling Jan Rabaey Sources: Introduction to Digital Systems Engineering, Bill Dally, Cambridge Press, 1998. Circuits, Interconnections and Packaging for VLSI, H. Bakoglu, Addison-Wesley,

More information

Improved Second Source to the EL2020 ADEL2020

Improved Second Source to the EL2020 ADEL2020 Improved Second Source to the EL ADEL FEATURES Ideal for Video Applications.% Differential Gain. Differential Phase. db Bandwidth to 5 MHz (G = +) High Speed 9 MHz Bandwidth ( db) 5 V/ s Slew Rate ns Settling

More information

Revision History. Contents

Revision History. Contents Revision History Ver. # Rev. Date Rev. By Comment 0.0 9/15/2012 Initial draft 1.0 9/16/2012 Remove class A part 2.0 9/17/2012 Comments and problem 2 added 3.0 10/3/2012 cmdmprobe re-simulation, add supplement

More information

EUP3452A. 2A,30V,300KHz Step-Down Converter DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit

EUP3452A. 2A,30V,300KHz Step-Down Converter DESCRIPTION FEATURES APPLICATIONS. Typical Application Circuit 2A,30V,300KHz Step-Down Converter DESCRIPTION The is current mode, step-down switching regulator capable of driving 2A continuous load with excellent line and load regulation. The can operate with an input

More information

MP MHz, 18V Step-Up Converter

MP MHz, 18V Step-Up Converter The Future of Analog IC Technology DESCRIPTION The MP540 is a 5-pin thin TSOT current mode step-up converter intended for small, low power applications. The MP540 switches at.mhz and allows the use of

More information

DESCRIPTION FEATURES APPLICATIONS TYPICAL APPLICATION

DESCRIPTION FEATURES APPLICATIONS TYPICAL APPLICATION MP5016 2.7V 22V, 1A 5A Current Limit Switch with Over Voltage Clamp and Reverse Block The Future of Analog IC Technology DESCRIPTION The MP5016 is a protection device designed to protect circuitry on the

More information

MGM 3000X Q67000-A5179 P-DSO-20-1 (SMD) MGM 3000X Q67006-A5179 P-DSO-20-1 Tape & Reel (SMD)

MGM 3000X Q67000-A5179 P-DSO-20-1 (SMD) MGM 3000X Q67006-A5179 P-DSO-20-1 Tape & Reel (SMD) Video Modulator for FM/AM-Audio MGM 3000X Bipolar IC Features FM- and AM-audio modulator Audio carrier output for suppression of harmonics Sync level clamping of video input signal Controlling of peak

More information

Tuesday, March 22nd, 9:15 11:00

Tuesday, March 22nd, 9:15 11:00 Nonlinearity it and mismatch Tuesday, March 22nd, 9:15 11:00 Snorre Aunet (sa@ifi.uio.no) Nanoelectronics group Department of Informatics University of Oslo Last time and today, Tuesday 22nd of March:

More information

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem

Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem Analog CMOS Interface Circuits for UMSI Chip of Environmental Monitoring Microsystem A report Submitted to Canopus Systems Inc. Zuhail Sainudeen and Navid Yazdi Arizona State University July 2001 1. Overview

More information

ECE 497 JS Lecture 16 Power Distribution

ECE 497 JS Lecture 16 Power Distribution ECE 497 JS Lecture 16 Power Distribution Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Overview Motivations & Objectives Power Supply Network

More information

5V, 3A, 1.5MHz Buck Constant Current Switching Regulator for White LED

5V, 3A, 1.5MHz Buck Constant Current Switching Regulator for White LED 5V, 3A, 1.5MHz Buck Constant Current Switching Regulator for White LED General Description The is a PWM control buck converter designed to provide a simple, high efficiency solution for driving high power

More information

DUAL ULTRA MICROPOWER RAIL-TO-RAIL CMOS OPERATIONAL AMPLIFIER

DUAL ULTRA MICROPOWER RAIL-TO-RAIL CMOS OPERATIONAL AMPLIFIER ADVANCED LINEAR DEVICES, INC. ALD276A/ALD276B ALD276 DUAL ULTRA MICROPOWER RAILTORAIL CMOS OPERATIONAL AMPLIFIER GENERAL DESCRIPTION The ALD276 is a dual monolithic CMOS micropower high slewrate operational

More information

Lecture-44. EE5325 Power Management Integrated Circuits

Lecture-44. EE5325 Power Management Integrated Circuits ecture-44 EE5325 Power Management Integrated Circuits Dr. Qadeer Ahmad Khan Integrated Circuits and Systems Group Department of Electrical Engineering IIT Madras DC-DC Converter Wish ist High Power Density

More information

Single-Axis, High-g, imems Accelerometers ADXL193

Single-Axis, High-g, imems Accelerometers ADXL193 Single-Axis, High-g, imems Accelerometers ADXL193 FEATURES Complete acceleration measurement system on a single monolithic IC Available in ±120 g or ±250 g output full-scale ranges Full differential sensor

More information