A Multi-phase VCO Quantizer based Adaptive Digital LDO in 65nm CMOS Technology

Size: px
Start display at page:

Download "A Multi-phase VCO Quantizer based Adaptive Digital LDO in 65nm CMOS Technology"

Transcription

1 A Multi-phase VCO Quantizer based Adaptive Digital LDO in 65nm CMOS Technology Somnath Kundu and Chris H. Kim University of Minnesota Dept. of ECE 1

2 Presentation Outline Analog vs. digital Low DropOut (LDO) regulators Digital LDO examples Proposed VCO-based digital LDO Stability analysis 65nm simulation results Conclusion 2

3 Integrated Voltage Regulators <1% area overhead 22nm Intel Haswell processor N. Kurd, et al., ISSCC, nm IBM POWER8 processor Z. Toprak-Deniz, et al., ISSCC, 2014 On-chip distributed voltage regulators Wide operating conditions with fast transients 3

4 Analog vs. Digital LDO Analog LDO Digital LDO Ref: S. Gangopadhyay, JSSC 14 Digital LDOs: Good scalability with technology Low voltage operation Loop parameters can be controlled digitally 4

5 Digital LDO Examples 1-bit ADC Multi-bit ADC Y. Okuma, CICC 10 T-J Oh, TVLSI 14 Simple design Requires many clock cycles to settle Slow response Complex design Requires fewer clock cycles Fast response 5

6 Digital LDO Examples VREGC UREGs V IN V REF S/H 3b Flash ADC IDAC + CP PWM SA CK S V OUT C L I L Toprak-Deniz, IBM, ISSCC, 2014 Multi-bit ADC based distributed digital LDO Shared voltage regulator controller (VREGC) and distributed micro-regulators (UREGs) VREGC utilizes a 3-bit flash ADC 6

7 Motivation of This Work Trade-off between response time and efficiency o Higher sampling clock provides faster settling o Lower sampling clock improves efficiency Adaptive sampling clock frequency High resolution ADC solutions o Higher ADC resolution provides settling in fewer clock cycles o Increasing ADC resolution requires more power and area VCO based digital intensive ADC 7

8 Presentation Outline Analog vs. digital Low DropOut (LDO) regulators Digital LDO examples Proposed VCO-based digital LDO Stability analysis 65nm simulation results Conclusion 8

9 Proposed VCO-based Digital LDO VCO quantizer based ADC V REF + + Multi-ph Time Quant. - N CK S Controller Σ > 10b V IN V OUT EN_HS Droop/ overshoot Detector I LOAD C L VCO based quantizer provides multi bit resolution Droop/overshoot detector generates adaptive sampling clock (CK s ) 9

10 Multi-phase VCO-based Quantizer V REF V OUT CK REF VCO<1:m> Multi-ph Time Quant. Count=Kf VCO /f REF VCO<1> VCO<2> Counter Counter + 10b VCO<1> /K VCO<m> Counter VCO<2> CK S CK CK REF S RST RST Multiple VCO phases are utilized to increase resolution VCO phase quantization provides 1 st order quantization noise shaping 10

11 Adaptive Sampling Clock Generator V REF /K CK S V ctr Fixed Current EN_HS ENB CK IN+ CK V OUT EN_HS CK IN- VCO<1:m> V REF +ΔV V OUT V REF -ΔV Droop/overshoot Detector EN_HS I LOAD V REF +ΔV V REF V REF -ΔV CK S High Freq High Freq High Freq Low Freq V OUT EN Low Freq Droop/overshoot detector detects sudden change in load current by observing V OUT VCO high frequency mode is activated to reduce ripple and faster recovery High Freq 11

12 Presentation Outline Analog vs. digital Low DropOut (LDO) regulators Digital LDO examples Proposed VCO-based digital LDO Stability analysis 65nm simulation results Conclusion 12

13 Discrete-time Small Signal Model Two poles in the system due to digital integrator and output load Ref: S. B. Nasir, TPE,

14 Root Locus and Stability Higher sampling clock frequency, lighter load moves z p2 towards unity circle Proposed LDO is stable for I LOAD > 3.2mA Ref: S. B. Nasir, TPE,

15 Presentation Outline Analog vs. digital Low DropOut (LDO) regulators Digital LDO examples Proposed VCO-based digital LDO Stability analysis 65nm simulation results Conclusion 15

16 Transient Step Response C L =40pF, V IN =1, V OUT =0.9V, 65nm CMOS 16

17 Baseline Digital LDO V REF CK REF VCO<1> Single-ph Time Quant. + N - + Controller Σ V IN V OUT VCO<1> > Counter xm I LOAD C L CK REF /K CK S RST Single phase VCO quantizer with fixed sampling frequency Baseline design is used for performance comparison 17

18 Transient Step Response C L =40pF, V IN =1, V OUT =0.9V, 65nm CMOS Multi-phase VCO quantization error during steady state is only 0.5mV 18

19 Voltage Droop and Settling Time C L =40pF, V IN =1, V OUT =0.9V, 65nm CMOS Adaptive sampling reduces voltage droop by 40 60% and times faster settling 19

20 Current and Power Efficiency Maximum current efficiency 99.3% and power efficiency 92.8% Total quiescent current: 660µA (VCOs: 530μA, Switching: 110μA and droop/overshoot detector: 20μA) Overhead of droop/overshoot detector is negligible 20

21 Performance Comparison This Work TPE 13 [5] ISSCC 15 [3] TVLSI 15 [6] Technology 65nm Multi-bit ADC type VCO V IN range (V) nm Multi-bit SAR nm 1-bit Comp nm Multi-bit VTC+TDC V OUT range (V) I LOAD (ma) * I Q (µa) 660* Max. Current Efficiency (%) 99.3* C L (nf) Steady-state f s (MHz) ΔV OUT (mv) Settling Time (µs) 50** 0.7** FOM # (ps) 0.53** 8.4* Schematic Simulation results # FOM=C L ΔV OUT I Q /(ΔI LOAD ) 2 *At V IN =1V and V OUT =0.9V **I LOAD step from 30-80mA in 1µs

22 Conclusion Multi-phase VCO quantizer based ADC operating over wide range of load current and input/output voltage in 65nm CMOS technology Dynamically adaptive sampling clock depending on the load transients reduces the output voltage ripple and provides faster settling Schematic simulations show a maximum current efficiency of 99.3% and an FOM of 0.53ps 22

A VCO-Based ADC Employing a Multi- Phase Noise-Shaping Beat Frequency Quantizer for Direct Sampling of Sub-1mV Input Signals

A VCO-Based ADC Employing a Multi- Phase Noise-Shaping Beat Frequency Quantizer for Direct Sampling of Sub-1mV Input Signals A VCO-Based ADC Employing a Multi- Phase Noise-Shaping Beat Frequency Quantizer for Direct Sampling of Sub-1mV Input Signals Bongjin Kim, Somnath Kundu, Seokkyun Ko and Chris H. Kim University of Minnesota,

More information

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection Somnath Kundu 1, Bongjin Kim 1,2, Chris H. Kim 1 1

More information

Modeling and Analysis of Digital Linear Dropout Regulators with Adaptive Control for High Efficiency under Wide Dynamic Range Digital Loads

Modeling and Analysis of Digital Linear Dropout Regulators with Adaptive Control for High Efficiency under Wide Dynamic Range Digital Loads 1 per load s driving a common load Modeling and Analysis of Digital Linear Dropout Regulators with Adaptive Control for High Efficiency under Wide Dynamic Range Digital Loads Samantak Gangopadhyay, Youngtak

More information

Converter IC for Cellular Phone. Mode Digitally-Controlled Buck. A 4 µa-quiescent-current Dual- Applications. Jianhui Zhang Prof.

Converter IC for Cellular Phone. Mode Digitally-Controlled Buck. A 4 µa-quiescent-current Dual- Applications. Jianhui Zhang Prof. A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications Jinwen Xiao Angel Peterchev Jianhui Zhang Prof. Seth Sanders Power Electronics Group Dept. of

More information

A mm 2 /Channel Time-Based Beat Frequency ADC in 65nm CMOS for Intra-Electrode Neural Recording

A mm 2 /Channel Time-Based Beat Frequency ADC in 65nm CMOS for Intra-Electrode Neural Recording A 0.0094mm 2 /Channel Time-Based Beat Frequency ADC in 65nm CMOS for Intra-Electrode Neural Recording Luke Everson 1, Somnath Kundu 1, Gang Chen 2, Zhi Yang 3, Timothy J. Ebner 2, and Chris H. Kim 1 1

More information

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class

Lecture 23: PLLs. Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 23: PLLs Announcements Office hour on Monday moved to 1-2pm and 3:30-4pm Final exam next Wednesday, in class Open book open notes Project

More information

A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications

A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications A 4 µa-quiescent-current Dual- Mode Digitally-Controlled Buck Converter IC for Cellular Phone Applications Jinwen Xiao Angel Peterchev Jianhui Zhang Prof. Seth Sanders Power Electronics Group Dept. of

More information

Digital PWM IC Control Technology and Issues

Digital PWM IC Control Technology and Issues Digital PWM IC Control Technology and Issues Prof. Seth R. Sanders Angel V. Peterchev Jinwen Xiao Jianhui Zhang Department of EECS University of California, Berkeley Digital Control Advantages implement

More information

A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping

A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping Jie Gu, Hanyong Eom and Chris H. Kim Department of Electrical and Computer Engineering University of Minnesota, Minneapolis

More information

A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer

A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer Po-Wei Chiu, Somnath Kundu, Qianying Tang, and Chris H. Kim University of Minnesota, Minneapolis,

More information

A Low Power Analog Front End Capable of Monitoring Knee Movements to Detect Injury

A Low Power Analog Front End Capable of Monitoring Knee Movements to Detect Injury A Low Power Analog Front End Capable of Monitoring Knee Movements to Detect Injury Garren Boggs, Hua Chen, Sridhar Sivapurapu ECE 6414 Final Presentation Outline Motivation System Overview Analog Front

More information

A Compact, Low-Power Low- Jitter Digital PLL. Amr Fahim Qualcomm, Inc.

A Compact, Low-Power Low- Jitter Digital PLL. Amr Fahim Qualcomm, Inc. A Compact, Low-Power Low- Jitter Digital PLL Amr Fahim Qualcomm, Inc. 1 Outline Introduction & Motivation Digital PLL Architectures Proposed DPLL Architecture Analysis of DPLL DPLL Adaptive Algorithm DPLL

More information

Digital PWM IC Control Technology and Issues

Digital PWM IC Control Technology and Issues Digital PWM IC Control Technology and Issues Prof. Seth R. Sanders (sanders@eecs.berkeley.edu) Angel V. Peterchev Jinwen Xiao Jianhui Zhang EECS Department University of California, Berkeley Digital Control

More information

Transient Response Boosted D-LDO Regulator Using Starved Inverter Based VTC

Transient Response Boosted D-LDO Regulator Using Starved Inverter Based VTC Research Manuscript Title Transient Response Boosted D-LDO Regulator Using Starved Inverter Based VTC K.K.Sree Janani, M.Balasubramani P.G. Scholar, VLSI Design, Assistant professor, Department of ECE,

More information

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs

A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs 1 A Low-Noise Self-Calibrating Dynamic Comparator for High-Speed ADCs Masaya Miyahara, Yusuke Asada, Daehwa Paik and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline 2 Motivation The Calibration

More information

Linear Integrated Circuits

Linear Integrated Circuits Linear Integrated Circuits Single Slope ADC Comparator checks input voltage with integrated reference voltage, V REF At the same time the number of clock cycles is being counted. When the integrator output

More information

Lecture-44. EE5325 Power Management Integrated Circuits

Lecture-44. EE5325 Power Management Integrated Circuits ecture-44 EE5325 Power Management Integrated Circuits Dr. Qadeer Ahmad Khan Integrated Circuits and Systems Group Department of Electrical Engineering IIT Madras DC-DC Converter Wish ist High Power Density

More information

Research Article Volume 6 Issue No. 12

Research Article Volume 6 Issue No. 12 ISSN XXXX XXXX 2016 IJESC Research Article Volume 6 Issue No. 12 A Fully-Integrated Low-Dropout Regulator with Full Spectrum Power Supply Rejection Muthya la. Manas a 1, G.Laxmi 2, G. Ah med Zees han 3

More information

A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique

A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique A Sub-0.75 RMS-Phase-Error Differentially-Tuned Fractional-N Synthesizer with On-Chip LDO Regulator and Analog-Enhanced AFC Technique Lei Lu, Lingbu Meng, Liang Zou, Hao Min and Zhangwen Tang Fudan University,

More information

Phase Locked Loop Design for Fast Phase and Frequency Acquisition

Phase Locked Loop Design for Fast Phase and Frequency Acquisition Phase Locked Loop Design for Fast Phase and Frequency Acquisition S.Anjaneyulu 1,J.Sreepavani 2,K.Pramidapadma 3,N.Varalakshmi 4,S.Triven 5 Lecturer,Dept.of ECE,SKU College of Engg. & Tech.,Ananthapuramu

More information

Research Article A Robust Low-Voltage On-Chip LDO Voltage Regulator in 180 nm

Research Article A Robust Low-Voltage On-Chip LDO Voltage Regulator in 180 nm VLSI Design Volume 2008, Article ID 259281, 7 pages doi:10.1155/2008/259281 Research Article A Robust Low-Voltage On-Chip LDO Voltage Regulator in 180 nm Sreehari Rao Patri and K. S. R. Krishna Prasad

More information

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2

Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2 Power Efficient Digital LDO Regulator with Transient Response Boost Technique K.K.Sree Janani 1, M.Balasubramani 2 1 PG student, Department of ECE, Vivekanandha College of Engineering for Women. 2 Assistant

More information

MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS

MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS Moataz Abdelfattah Supervised by: AUC Prof. Yehea Ismail Dr. Maged Ghoniema Intel Dr. Mohamed Abdel-moneum (Industry Mentor) Outline Introduction

More information

SON3130 FEATURES PRODUCT DESCRIPTION PIN CONFIGURATION (TOP VIEW) APPLICATIONS

SON3130 FEATURES PRODUCT DESCRIPTION PIN CONFIGURATION (TOP VIEW) APPLICATIONS PRODUCT DESCRIPTION The SON313 is designed for heart rate output with SON133(heart rate sensor) offering low cost. It has a wide input common mode voltage range and output voltage swing, and takes the

More information

True Random Number Generator Circuits Based on Single- and Multi- Phase Beat Frequency Detection

True Random Number Generator Circuits Based on Single- and Multi- Phase Beat Frequency Detection True Random Number Generator Circuits Based on Single- and Multi- Phase Beat Frequency Detection Qianying Tang, Bongjin Kim, Yingjie Lao, Keshab K. Parhi, and Chris H. Kim University of Minnesota, Minneapolis,

More information

A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers

A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers James Lin, Daehwa Paik, Seungjong Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada

More information

ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS

ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS ANALOG-TO-DIGITAL CONVERTER FOR INPUT VOLTAGE MEASUREMENTS IN LOW- POWER DIGITALLY CONTROLLED SWITCH-MODE POWER SUPPLY CONVERTERS Aleksandar Radić, S. M. Ahsanuzzaman, Amir Parayandeh, and Aleksandar Prodić

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Announcements EE290C - Spring 04 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture 11 Components Phase-Locked Loops Viterbi Decoder Borivoje Nikolic March 2, 04. Announcements Homework #2 due

More information

Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits

Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits Tae-Hyoung Kim, Randy Persaud and Chris H. Kim Department of Electrical and Computer Engineering

More information

Designing low-frequency decoupling using SIMPLIS

Designing low-frequency decoupling using SIMPLIS Designing low-frequency decoupling using SIMPLIS K. Covi Traditional approach to sizing decoupling Determine effective ESR required Parallel electrolytic caps until ESR = ΔV/ΔI where ΔV = desired voltage

More information

Supply-Adaptive Performance Monitoring/Control Employing ILRO Frequency Tuning for Highly Efficient Multicore Processors

Supply-Adaptive Performance Monitoring/Control Employing ILRO Frequency Tuning for Highly Efficient Multicore Processors EE 241 Project Final Report 2013 1 Supply-Adaptive Performance Monitoring/Control Employing ILRO Frequency Tuning for Highly Efficient Multicore Processors Jaeduk Han, Student Member, IEEE, Angie Wang,

More information

A Fast-Transient Wide-Voltage-Range Digital- Controlled Buck Converter with Cycle- Controlled DPWM

A Fast-Transient Wide-Voltage-Range Digital- Controlled Buck Converter with Cycle- Controlled DPWM A Fast-Transient Wide-Voltage-Range Digital- Controlled Buck Converter with Cycle- Controlled DPWM Abstract: This paper presents a wide-voltage-range, fast-transient all-digital buck converter using a

More information

A Novel on Design and Analysis of on Chip Low Drop out Regulator for Improving Transient Response

A Novel on Design and Analysis of on Chip Low Drop out Regulator for Improving Transient Response A Novel on Design and Analysis of on Chip Low Drop out Regulator for Improving Transient Response Harish R PG Student, Department of Electronics Engineering, Sardar Vallabhbhai National Institute of Technology,

More information

A mm 2 Frequency-to-Current Conversion-Based Fractional Frequency Synthesizer in 32 nm Utilizing Deep Trench Capacitor

A mm 2 Frequency-to-Current Conversion-Based Fractional Frequency Synthesizer in 32 nm Utilizing Deep Trench Capacitor IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 63, NO. 5, MAY 2016 413 A 0.0054-mm 2 Frequency-to-Current Conversion-Based Fractional Frequency Synthesizer in 32 nm Utilizing Deep Trench

More information

A Low Power Switching Power Supply for Self-Clocked Systems 1. Gu-Yeon Wei and Mark Horowitz

A Low Power Switching Power Supply for Self-Clocked Systems 1. Gu-Yeon Wei and Mark Horowitz A Low Power Switching Power Supply for Self-Clocked Systems 1 Gu-Yeon Wei and Mark Horowitz Computer Systems Laboratory, Stanford University, CA 94305 Abstract - This paper presents a digital power supply

More information

AN ABSTRACT OF THE THESIS OF

AN ABSTRACT OF THE THESIS OF AN ABSTRACT OF THE THESIS OF Bangda Yang for the degree of Master of Science in Electrical and Computer Engineering presented on December 1, 2010. Title: Feedforward Noise Cancelling Techniques. Abstract

More information

MP A, 30V, 420kHz Step-Down Converter

MP A, 30V, 420kHz Step-Down Converter The Future of Analog IC Technology DESCRIPTION The MP28490 is a monolithic step-down switch mode converter with a built in internal power MOSFET. It achieves 5A continuous output current over a wide input

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

Design of a low voltage,low drop-out (LDO) voltage cmos regulator

Design of a low voltage,low drop-out (LDO) voltage cmos regulator Design of a low,low drop-out (LDO) cmos regulator Chaithra T S Ashwini Abstract- In this paper a low, low drop-out (LDO) regulator design procedure is proposed and implemented using 0.25 micron CMOS process.

More information

1.5MHz, 2A Synchronous Step-Down Regulator

1.5MHz, 2A Synchronous Step-Down Regulator 1.5MHz, 2A Synchronous Step-Down Regulator General Description The is a high efficiency current mode synchronous buck PWM DC-DC regulator. The internal generated 0.6V precision feedback reference voltage

More information

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec.

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec. MS Thesis esign and Implementation of High-Speed CMOS Clock and ata Recovery Circuit for Optical Interconnection Applications Seong-Jun Song ec. 20, 2002 oratory, epartment of Electrical Engineering and

More information

ICS PLL BUILDING BLOCK

ICS PLL BUILDING BLOCK Description The ICS673-01 is a low cost, high performance Phase Locked Loop (PLL) designed for clock synthesis and synchronization. Included on the chip are the phase detector, charge pump, Voltage Controlled

More information

Low-power Sigma-Delta AD Converters

Low-power Sigma-Delta AD Converters Low-power Sigma-Delta AD Converters Willy Sansen KULeuven, ESAT-MICAS Leuven, Belgium willy.sansen@esat.kuleuven.be Willy Sansen 10-05 211 Table of contents Delta-sigma modulation The switch problem The

More information

SGM Channel PWM Dimming Charge Pump White LED Driver

SGM Channel PWM Dimming Charge Pump White LED Driver GENERAL DESCRIPTION The SGM3145 is a high performance white LED driver. It integrates current sources and automatic mode selection charge pump. The part maintains the high efficiency by utilizing a 1 /1.5

More information

TECHNIQUES FOR DIGITAL LOW DROPOUT REGULATOR MODELING AND TRANSIENT RESPONSE ENHANCEMENT

TECHNIQUES FOR DIGITAL LOW DROPOUT REGULATOR MODELING AND TRANSIENT RESPONSE ENHANCEMENT Southern Illinois University Carbondale OpenSIUC Theses Theses and Dissertations 5-1-2016 TECHNIQUES FOR DIGITAL LOW DROPOUT REGULATOR MODELING AND TRANSIENT RESPONSE ENHANCEMENT Paul Martin West Southern

More information

Analog I/O. ECE 153B Sensor & Peripheral Interface Design Winter 2016

Analog I/O. ECE 153B Sensor & Peripheral Interface Design Winter 2016 Analog I/O ECE 153B Sensor & Peripheral Interface Design Introduction Anytime we need to monitor or control analog signals with a digital system, we require analogto-digital (ADC) and digital-to-analog

More information

A stability-improved single-opamp third-order ΣΔ modulator by using a fully-passive noise-shaping SAR ADC and passive adder

A stability-improved single-opamp third-order ΣΔ modulator by using a fully-passive noise-shaping SAR ADC and passive adder A stability-improved single-opamp third-order ΣΔ modulator by using a fully-passive noise-shaping SAR ADC and passive adder Zhijie Chen, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology,

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information

EUP2619. TFT LCD DC-DC Converter with Integrated Charge Pumps and OP-AMP FEATURES DESCRIPTION APPLICATIONS. Typical Application Circuit

EUP2619. TFT LCD DC-DC Converter with Integrated Charge Pumps and OP-AMP FEATURES DESCRIPTION APPLICATIONS. Typical Application Circuit TFT LCD DC-DC Converter with Integrated Charge Pumps and OP-AMP DESCRIPTION The EUP2619 generates power supply rails for thin-film transistor (TFT) liquid-crystal display (LCD) panels in tablet PCs and

More information

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Body voltage controlled

More information

A 1.9GHz Single-Chip CMOS PHS Cellphone

A 1.9GHz Single-Chip CMOS PHS Cellphone A 1.9GHz Single-Chip CMOS PHS Cellphone IEEE JSSC, Vol. 41, No.12, December 2006 William Si, Srenik Mehta, Hirad Samavati, Manolis Terrovitis, Michael Mack, Keith Onodera, Steve Jen, Susan Luschas, Justin

More information

All-Digital PLL Frequency and Phase Noise Degradation Measurements Using Simple On-Chip Monitoring Circuits

All-Digital PLL Frequency and Phase Noise Degradation Measurements Using Simple On-Chip Monitoring Circuits All-Digital PLL Frequency and Noise Degradation Measurements Using Simple On-Chip Monitoring Circuits Gyusung Park, Minsu Kim and Chris H. Kim Department of Electrical and Computer Engineering University

More information

Single Supply, Rail to Rail Low Power FET-Input Op Amp AD820

Single Supply, Rail to Rail Low Power FET-Input Op Amp AD820 a FEATURES True Single Supply Operation Output Swings Rail-to-Rail Input Voltage Range Extends Below Ground Single Supply Capability from + V to + V Dual Supply Capability from. V to 8 V Excellent Load

More information

A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS

A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS UT Mixed-Signal/RF Integrated Circuits Seminar Series A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS Pio Balmelli April 19 th, Austin TX 2 Outline VDSL specifications Σ A/D converter features Broadband

More information

A 4-Channel Fast Waveform Sampling ASIC in 130 nm CMOS

A 4-Channel Fast Waveform Sampling ASIC in 130 nm CMOS A 4-Channel Fast Waveform Sampling ASIC in 130 nm CMOS E. Oberla, H. Grabas, M. Bogdan, J.F. Genat, H. Frisch Enrico Fermi Institute, University of Chicago K. Nishimura, G. Varner University of Hawai I

More information

SUN MHz, 800mA Synchronous Step-Down Converter GENERAL DESCRIPTION EVALUATION BOARD APPLICATIONS. Typical Application

SUN MHz, 800mA Synchronous Step-Down Converter GENERAL DESCRIPTION EVALUATION BOARD APPLICATIONS. Typical Application GENERAL DESCRIPTION The is a 1.5MHz constant frequency, slope compensated current mode PWM stepdown converter. The device integrates a main switch and a synchronous rectifier for high efficiency without

More information

MSP430 Power Solutions Michael Day Portable Power Applications Manager. Powering the MSP ua. 30uA 5mA 6/6/2008 1

MSP430 Power Solutions Michael Day Portable Power Applications Manager. Powering the MSP ua. 30uA 5mA 6/6/2008 1 MSP430 Power Solutions Michael Day Portable Power Applications Manager 6/6/2008 1 Powering the MSP430 Power Supply MSP430 30uA 5mA 0.5 ua 2 1 MSP430 Power Requirements Typical Input Voltage Range (MSP430F2111)

More information

A Current-Measurement Front-End with 160dB Dynamic Range and 7ppm INL

A Current-Measurement Front-End with 160dB Dynamic Range and 7ppm INL A Current-Measurement Front-End with 160dB Dynamic Range and 7ppm INL Chung-Lun Hsu and Drew A. Hall University of California, San Diego, La Jolla, CA, USA International Solid-State Circuits Conference

More information

A DPLL-based per Core Variable Frequency Clock Generator for an Eight-Core POWER7 Microprocessor

A DPLL-based per Core Variable Frequency Clock Generator for an Eight-Core POWER7 Microprocessor A DPLL-based per Core Variable Frequency Clock Generator for an Eight-Core POWER7 Microprocessor José Tierno 1, A. Rylyakov 1, D. Friedman 1, A. Chen 2, A. Ciesla 2, T. Diemoz 2, G. English 2, D. Hui 2,

More information

Impact of Interconnect Length on. Degradation

Impact of Interconnect Length on. Degradation Impact of Interconnect Length on BTI and HCI Induced Frequency Degradation Xiaofei Wang, Pulkit Jain, Dong Jiao and Chris H. Kim University of Minnesota, Minneapolis, MN xfwang@umn.edu www.umn.edu/~chriskim/

More information

SR A, 30V, 420KHz Step-Down Converter DESCRIPTION FEATURES APPLICATIONS TYPICAL APPLICATION

SR A, 30V, 420KHz Step-Down Converter DESCRIPTION FEATURES APPLICATIONS TYPICAL APPLICATION SR2026 5A, 30V, 420KHz Step-Down Converter DESCRIPTION The SR2026 is a monolithic step-down switch mode converter with a built in internal power MOSFET. It achieves 5A continuous output current over a

More information

Pulse Coding Controlled Switching Converter with Generating Automatic Frequency Tracking Notch Characteristics for Radio Receiver

Pulse Coding Controlled Switching Converter with Generating Automatic Frequency Tracking Notch Characteristics for Radio Receiver Apr. 18 2018 (Wen) Pulse Coding Controlled Switching Converter with Generating Automatic Frequency Tracking Notch Characteristics for Radio Receiver Yifei Sun, Yi Xiong, Yasunori Kobori, Haruo Kobayashi

More information

Integer-N Clock Translator for Wireline Communications AD9550

Integer-N Clock Translator for Wireline Communications AD9550 Integer-N Clock Translator for Wireline Communications AD955 FEATURES BASIC BLOCK DIAGRAM Converts preset standard input frequencies to standard output frequencies Input frequencies from 8 khz to 2 MHz

More information

MP8619 8A, 25V, 600kHz Synchronous Step-down Converter

MP8619 8A, 25V, 600kHz Synchronous Step-down Converter The Future of Analog IC Technology DESCRIPTION The MP8619 is a high frequency synchronous rectified step-down switch mode converter with built in internal power MOSFETs. It offers a very compact solution

More information

Another way to implement a folding ADC

Another way to implement a folding ADC Another way to implement a folding ADC J. Van Valburg and R. van de Plassche, An 8-b 650 MHz Folding ADC, IEEE JSSC, vol 27, #12, pp. 1662-6, Dec 1992 Coupled Differential Pair J. Van Valburg and R. van

More information

eorex EP MHz, 600mA Synchronous Step-down Converter

eorex EP MHz, 600mA Synchronous Step-down Converter 1.5MHz, 600mA Synchronous Step-down Converter Features High Efficiency: Up to 96% 1.5MHz Constant Switching Frequency 600mA Output Current at V IN = 3V Integrated Main Switch and Synchronous Rectifier

More information

Single Supply, Rail to Rail Low Power FET-Input Op Amp AD820

Single Supply, Rail to Rail Low Power FET-Input Op Amp AD820 a FEATURES True Single Supply Operation Output Swings Rail-to-Rail Input Voltage Range Extends Below Ground Single Supply Capability from V to V Dual Supply Capability from. V to 8 V Excellent Load Drive

More information

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 15.7 A 4µA-Quiescent-Current Dual-Mode Buck Converter IC for Cellular Phone Applications Jinwen Xiao, Angel Peterchev, Jianhui Zhang, Seth Sanders

More information

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems 1 Eun-Jung Yoon, 2 Kangyeob Park, 3* Won-Seok Oh 1, 2, 3 SoC Platform Research Center, Korea Electronics Technology

More information

1.5MHz, 3A Synchronous Step-Down Regulator

1.5MHz, 3A Synchronous Step-Down Regulator 1.5MHz, 3A Synchronous Step-Down Regulator FP6165 General Description The FP6165 is a high efficiency current mode synchronous buck PWM DC-DC regulator. The internal generated 0.6V precision feedback reference

More information

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator

A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 14, Number 4, 2011, 380 391 A Clock Generating System for USB 2.0 with a High-PSR Bandgap Reference Generator Seok KIM 1, Seung-Taek YOO 1,2,

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 PLL (Introduction) 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Clock Clock: Timing

More information

An LDO Primer. Part III: A Review on PSRR and Output Noise

An LDO Primer. Part III: A Review on PSRR and Output Noise An LDO Primer Part III: A Review on PSRR and Output Noise Qi Deng Senior Product Marketing Engineer, Analog and Interface Products Division Microchip Technology Inc. In Parts I and II of this article series,

More information

Workshop ESSCIRC. Low-Power Data Acquisition System For Very Small Signals At Low Frequencies With12-Bit- SAR-ADC. 17. September 2010.

Workshop ESSCIRC. Low-Power Data Acquisition System For Very Small Signals At Low Frequencies With12-Bit- SAR-ADC. 17. September 2010. Workshop ESSCIRC Low-Power Data Acquisition System For Very Small Signals At Low Frequencies With12-Bit- SAR-ADC 17. September 2010 Christof Dohmen Outline System Overview Analog-Front-End Chopper-Amplifier

More information

Thermally enhanced Low V FB Step-Down LED Driver ADT6780

Thermally enhanced Low V FB Step-Down LED Driver ADT6780 Thermally enhanced Low V FB Step-Down LED Driver General Description The is a thermally enhanced current mode step down LED driver. That is designed to deliver constant current to high power LEDs. The

More information

WL2803E WL2803E. Descriptions. Features. Order Information. Applications. Ultra low dropout, 500mA, CMOS LDO.

WL2803E WL2803E. Descriptions. Features. Order Information. Applications. Ultra low dropout, 500mA, CMOS LDO. Ultra low dropout, 500mA, CMOS LDO Http://www.sh-willsemi.com Descriptions The series are ultra low dropout, Low quiescent current, high PSRR CMOS LDO. The dropout voltage is 130mV (Typ.) at 500mA load

More information

EEE312: Electrical measurement & instrumentation

EEE312: Electrical measurement & instrumentation University of Turkish Aeronautical Association Faculty of Engineering EEE department EEE312: Electrical measurement & instrumentation Digital Electronic meters BY Ankara March 2017 1 Introduction The digital

More information

OBSOLETE. Charge Pump Regulator for Color TFT Panel ADM8830

OBSOLETE. Charge Pump Regulator for Color TFT Panel ADM8830 FEATURES 3 Output Voltages (+5.1 V, +15.3 V, 10.2 V) from One 3 V Input Supply Power Efficiency Optimized for Use with TFT in Mobile Phones Low Quiescent Current Low Shutdown Current (

More information

A 4 Channel Waveform Sampling ASIC in 130 nm CMOS

A 4 Channel Waveform Sampling ASIC in 130 nm CMOS A 4 Channel Waveform Sampling ASIC in 130 nm CMOS E. Oberla, H. Grabas, J.F. Genat, H. Frisch Enrico Fermi Institute, University of Chicago K. Nishimura, G. Varner University of Hawai I Large Area Picosecond

More information

Analog to Digital Conversion

Analog to Digital Conversion Analog to Digital Conversion Florian Erdinger Lehrstuhl für Schaltungstechnik und Simulation Technische Informatik der Uni Heidelberg VLSI Design - Mixed Mode Simulation F. Erdinger, ZITI, Uni Heidelberg

More information

A Low Dropout Voltage Regulator with Enhanced Transconductance Error Amplifier and Small Output Voltage Variations

A Low Dropout Voltage Regulator with Enhanced Transconductance Error Amplifier and Small Output Voltage Variations A Low Dropout Voltage Regulator with Enhanced Transconductance Error Amplifier and Small Output Voltage Variations Ebrahim Abiri*, Mohammad Reza Salehi**, and Sara Mohammadalinejadi*** Department of Electrical

More information

Outline. Motivation. Design Challenges. Design of Mode-Switching VCO. Measurement Results. Conclusion 7/8/14

Outline. Motivation. Design Challenges. Design of Mode-Switching VCO. Measurement Results. Conclusion 7/8/14 Mazhareddin Taghivand, Kamal Aggarwal and Ada Poon Dept. of Electrical Engineering Stanford University Outline Motivation Design Challenges Design of Mode-Switching VCO Measurement Results Conclusion 2

More information

ECE 497 JS Lecture 16 Power Distribution

ECE 497 JS Lecture 16 Power Distribution ECE 497 JS Lecture 16 Power Distribution Spring 2004 Jose E. Schutt-Aine Electrical & Computer Engineering University of Illinois jose@emlab.uiuc.edu 1 Overview Motivations & Objectives Power Supply Network

More information

2. ADC Architectures and CMOS Circuits

2. ADC Architectures and CMOS Circuits /58 2. Architectures and CMOS Circuits Francesc Serra Graells francesc.serra.graells@uab.cat Departament de Microelectrònica i Sistemes Electrònics Universitat Autònoma de Barcelona paco.serra@imb-cnm.csic.es

More information

WL2803E WL2803E. Descriptions. Features. Order Information. Applications. Ultra low dropout, 500mA, CMOS LDO.

WL2803E WL2803E. Descriptions. Features. Order Information. Applications. Ultra low dropout, 500mA, CMOS LDO. Ultra low dropout, 500mA, CMOS LDO Http://www.sh-willsemi.com Descriptions The series are ultra low dropout, Low quiescent current, high PSRR CMOS LDO. The dropout voltage is 130mV (Typ.) at 500mA load

More information

Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters

Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters 0 Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters F. Maloberti University of Pavia - Italy franco.maloberti@unipv.it 1 Introduction Summary Sigma-Delta

More information

MP2482 5A, 30V, 420kHz Step-Down Converter

MP2482 5A, 30V, 420kHz Step-Down Converter The Future of Analog IC Technology DESCRIPTION The MP2482 is a monolithic step-down switch mode converter with a built in internal power MOSFET. It achieves 5A continuous output current over a wide input

More information

MP A,1MHz, Synchronous, Step-up Converter with Output Disconnect

MP A,1MHz, Synchronous, Step-up Converter with Output Disconnect The Future of Analog IC Technology MP3414 1.8A,1MHz, Synchronous, Step-up Converter with Output Disconnect DESCRIPTION The MP3414 is a high-efficiency, synchronous, current mode, step-up converter with

More information

18+1 Channel Voltage Buffers for TFT LCD. Features. Applications. A,B,Q,R: Rail to Rail OPAMPs

18+1 Channel Voltage Buffers for TFT LCD. Features. Applications. A,B,Q,R: Rail to Rail OPAMPs Introduction General Description The is a 18+1 channel voltage buffers that buffers reference voltage for gamma correction in a thin film transistor liquid crystal display (TFT LCD). This device incorporating

More information

f o Fig ECE 6440 Frequency Synthesizers P.E. Allen Frequency Magnitude Spectral impurity Frequency Fig010-03

f o Fig ECE 6440 Frequency Synthesizers P.E. Allen Frequency Magnitude Spectral impurity Frequency Fig010-03 Lecture 010 Introduction to Synthesizers (5/5/03) Page 010-1 LECTURE 010 INTRODUCTION TO FREQUENCY SYNTHESIZERS (References: [1,5,9,10]) What is a Synthesizer? A frequency synthesizer is the means by which

More information

A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications

A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications A Dual-Step-Mixing ILFD using a Direct Injection Technique for High- Order Division Ratios in 60GHz Applications Teerachot Siriburanon, Wei Deng, Ahmed Musa, Kenichi Okada, and Akira Matsuzawa Tokyo Institute

More information

A LOW DROPOUT VOLTAGE REGULATOR WITH ENHANCED TRANSCONDUCTANCE ERROR AMPLIFIER AND SMALL OUTPUT VOLTAGE VARIATIONS

A LOW DROPOUT VOLTAGE REGULATOR WITH ENHANCED TRANSCONDUCTANCE ERROR AMPLIFIER AND SMALL OUTPUT VOLTAGE VARIATIONS ISSN 1313-7069 (print) ISSN 1313-3551 (online) Trakia Journal of Sciences, No 4, pp 441-448, 2014 Copyright 2014 Trakia University Available online at: http://www.uni-sz.bg doi:10.15547/tjs.2014.04.015

More information

Low voltage LNA, mixer and VCO 1GHz

Low voltage LNA, mixer and VCO 1GHz DESCRIPTION The is a combined RF amplifier, VCO with tracking bandpass filter and mixer designed for high-performance low-power communication systems from 800-1200MHz. The low-noise preamplifier has a

More information

A 2-in-1 Temperature and Humidity Sensor Achieving 62 fj K 2 and 0.83 pj (%RH) 2

A 2-in-1 Temperature and Humidity Sensor Achieving 62 fj K 2 and 0.83 pj (%RH) 2 Session 22 Sensors and Integration A 2-in-1 Temperature and Humidity Sensor Achieving 62 fj K 2 and 0.83 pj (%RH) 2 Haowei Jiang, Chih-Cheng Huang, Matthew Chan, and Drew A. Hall University of California,

More information

Cost Effective Spread Spectrum Clock Generator Design Chulwoo Kim, Minyoung Song, Sewook Hwang

Cost Effective Spread Spectrum Clock Generator Design Chulwoo Kim, Minyoung Song, Sewook Hwang Cost Effective Spread Spectrum Clock Generator Design Chulwoo Kim, Minyoung Song, Sewook Hwang Advanced Integrated Systems Lab. Korea University, Seoul, Korea Outline Introduction Spread Spectrum Clock

More information

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore.

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. Title Triple boundary multiphase with predictive interleaving technique for switched capacitor DC-DC converter

More information

Part No. Package Marking Material Packing SD42530 HSOP SD42530 Pb free Tube SD42530TR HSOP SD42530 Pb free Tape&Reel

Part No. Package Marking Material Packing SD42530 HSOP SD42530 Pb free Tube SD42530TR HSOP SD42530 Pb free Tape&Reel 4-CHANNEL 1A HIGH POWER LED DRIVER WITH 6~48V INPUT DESCRIPTION The SD4253 is a step-down PWM control LED driver with a builtin power MOSFET. It achieves 1A continuous output current in 6~48V input voltage

More information

DUAL ULTRA MICROPOWER RAIL-TO-RAIL CMOS OPERATIONAL AMPLIFIER

DUAL ULTRA MICROPOWER RAIL-TO-RAIL CMOS OPERATIONAL AMPLIFIER ADVANCED LINEAR DEVICES, INC. ALD276A/ALD276B ALD276 DUAL ULTRA MICROPOWER RAILTORAIL CMOS OPERATIONAL AMPLIFIER GENERAL DESCRIPTION The ALD276 is a dual monolithic CMOS micropower high slewrate operational

More information

Fast Characterization of PBTI and NBTI Induced Frequency Shifts under a Realistic Recovery Bias Using a Ring Oscillator Based Circuit

Fast Characterization of PBTI and NBTI Induced Frequency Shifts under a Realistic Recovery Bias Using a Ring Oscillator Based Circuit Fast Characterization of PBTI and NBTI Induced Frequency Shifts under a Realistic Recovery Bias Using a Ring Oscillator Based Circuit 1,2 Xiaofei Wang, 1 Seung-hwan Song, 1 Ayan Paul and 1 Chris H. Kim

More information

40V Boost Converter for LED driver / TFT Bias / USB Power

40V Boost Converter for LED driver / TFT Bias / USB Power 40V Boost Converter for LED driver / TFT Bias / USB Power DESCRIPTION The is a high efficiency step-up converter with an internally integrated 40V power MOSEFT. It runs with an optimal 0.8MHz frequency

More information