A fps CMOS Ion-Image Sensor with Suppressed Fixed-Pattern-Noise for Accurate High-throughput DNA Sequencing

Size: px
Start display at page:

Download "A fps CMOS Ion-Image Sensor with Suppressed Fixed-Pattern-Noise for Accurate High-throughput DNA Sequencing"

Transcription

1 A fps CMOS Ion-Image Sensor with Suppressed Fixed-Pattern-Noise for Accurate High-throughput DNA Sequencing Xiwei Huang, Fei Wang, Jing Guo, Mei Yan, Hao Yu*, and Kiat Seng Yeo School of Electrical and Electronic Engineering, Nanyang Technological University, Singapore Symposia on VLSI Technology and Circuits

2 Outline Introduction of DNA sequencing Challenges Our Solution: dual-mode CMOS ion-image sensor Experimental Results Conclusions Slide 1

3 Semiconductor and DNA Sequencing Original AB370 (system) 1 st Gen:454 sequencer (sensing chip) 2 nd Gen: Ion Torrent (sensing & detection chip) Virus ~9700 Bacteria ~4,600,000 Human ~3,200,000,000 Semiconductor technology change biomedical world with lower cost! Slide 2

4 Conventional DNA Sequencing Method Conventional Optical Method High Cost: $1M/test Tagging of bases with fluorescent dye Bulky optical detector Large volume image processing Slide 3

5 2 rd Generation ph (Chemical) DNA sequencing 1. Cut DNA strand into slices & amplify onto microbead 2. Distribute into microwell array above ISFET sensor 3. Sequentially flush ATCG & Measure the corresponding ph variation. Lab-on-chip ph sensing method: Detect H + (or ph) released by DNA polymerase synthesis during sequencing using CMOS ISFET sensor Low Cost: $1000/test Slide 4

6 Challenges for ph (Chemical) DNA Sequencing Local ph response needs to be correlated to the physical location that contains one microbead. ph variation of large-arrayed ISFET sensor exists due to pixel-to-pixel threshold voltage V T mismatch, or fixed pattern noise (FPN). Slide 5

7 Our Solution: Dual-mode CMOS Ion-Image Sensor White Light Source Illumination DNA Slice Microbead H+ H+ H+ Reference Electrode Microwell + - H+ H+ H+ Si 3 N 4 Passivation Layer Ion Sensing GND Contact Image TX Metal Layers Output STI p+ Gate Oixde Gate Poly p+ SF PIN PD n+ n+ n+ STI p-well p-substrate FD n+ STI ISFET based Ion Sensing + CIS based Contact Imaging Slide 6

8 ISFET by Standard CMOS ISFET can be fabricated in standard CMOS image sensor process. The top most Si 3 N 4 passivation layer can be utilized as the ion (ph) sensing membrane. Threshold voltage V T of ISFET device correlates to the solution ph. Traditional ISFET fabricated by special process ISFET fabricated through standard CMOS process Slide 7

9 Dual-mode Pixel Design VAAPIX M1 TX RST M6 FD PD ROW SF M2 M3 PIXOUT VAAPIX M1 TX RST M6 FD PD V REF ROW SF M2 M3 PIXOUT VAAPIX RST V REF M1 ROW M2 SF M3 PIXOUT VLN_CASC VLN_CASC VLN_CASC M4 M4 M4 VLN VLN VLN M5 M5 M5 (a) 4T-CIS Pixel (b) Dual-mode Pixel (c) ISFET Pixel White Light Source Illumination Image Mode: Standard 4T pixel to provide images of Reference Electrode microbeads; DNA Slice PD, Microbead TG and FD form active-pixel-sensor H+ H+ H+ H+ H+ Chemical Mode: SF forms ISFET to provide local ph value H+ Microwell + - Si 3 N 4 Passivation Layer Ion Sensing Slide 8

10 Dual-mode Low FPN CDS Readout VAAPIX TX PD ROW RST TX ISFS ISFR CLAMP SHR SHS RST FD V REF ROW VLN_CASC Φ 1 Φ 2 CB COL Φ ADC VLN SF ISFET Dual-Mode Pixel SHS V CM SHR V SIG V RST ISFR ISFS C SS (1pF) CB C SR (1pF) Column S/H COL CLAMP V CM CLAMP COL (1pF,0.5pF,0.25pF) V INP Φ 1P Φ 1P V INN (a)(b) CDS Readout Timing for ph Mode 64 Rows 64 Rows 64 Columns 64 Columns C FS Global Opamp C FR (1pF,0.5pF,0.25pF) V CM Φ 1 Φ 2 V OUTN Φ 2 Φ 1 Φ 1 V CM V CM 12-bit Pipelined ADC V OUTP V REFN (c) CDS Readout Timing for Image Mode 64 Rows 64 Columns Φ ADC D OUT V REFP Objective: Remove pixelwise V T mismatch Before loading solution: V OUT1 = V OUTP V OUTN = α (C S /C F ) (V RST -V CM +V T ) α: source follower gain After loading solution: V OUT2 = V OUTP -V OUTN = α (C S /C F ) (V REF -V CM +V T -dv) dv: desired voltage signal caused by H + Difference correlated sampling: V OUT1 - V OUT2 = α (C S /C F ) (V RST -V REF +dv) D OUT Column RST Amplifying Sampling Digitalizing (a) Before Loading Solution Column SIG Amplifying Sampling Digitalizing (b) After Loading Solution Column Amplifying Sampling Digitalizing (c) Capture Contact Image V T mismatch removed Slide 9

11 Dual-mode Sensor Implementation Slide 10

12 64x64 Sensor Array Testing Setup Parameters Process Pixel Type Pixel Size Pixel Optical Sensing Area Pixel Chemical Sensing Area Specifications Standard TSMC 0.18μm CIS Dual-Mode (Image and Chemical) 10μm 10μm 20.1μm 2 (FF=18.1% ) 22.3μm 2 (FF=20.1% ) Array Size Die Area ADC ENOB ADC SNDR 2.5mm 5mm 11.4 bits 70.35dB FPN 0.3% Frame Rate Total Power Consumption 1200fps 3.3V Slide 11

13 Dual-mode Local ph Correlation ph scale bar Digital Output (12-bit) Contact Image ph Map Microbead images captured at image mode and ph map generated at chemical mode Local ph values of ph map correlate with the addressed microbeads Uncorrelated ph variation can be filtered out Slide 12

14 Sensitivity of ph Measurement Water used as buffer, and ph changed by adding HCL and NaOH Measured ph sensitivity of 26.3mV/pH at Gain=1 and 103.8mV/pH at Gain=4 of global opamp Slide 13

15 Accuracy of ph Measurement Measured phs of ISFET sensor well match results of commercial ph meter for bacteria (E. Coli) culture solution with glucose at different time intervals Slide 14

16 CDS Readout Noise Reduction With CDS (Mean = 0.09mV) Without CDS (Mean = 0.26mV) Max = 0.71mV Max = 0.46mV Comparison of readout voltage variation obtained by performing spatial FFT to readout voltages with respect to addresses of 64x64 ISFET sensor array with and without CDS Mean and Peak variation-reduction observed at 0.17mV and 0.25mV by CDS readout Slide 15

17 Comparison of State-of-art ISFET Sensors [4] [5] [6] [7] This Work Process 5μm Non- CMOS 0.35μm Modified CMOS 0.35μm Standard CMOS 0.18μm Standard CMOS 0.18μm Standard CMOS Pixel Size 200μm 200μm 12.8μm 12.8μm 10.2μm 10.2μm 20μm 2μm 10μm 10μm Array Size Frame Rate 30fps - 100fps fps Sensitivity 229mV/pH 46mV/pH 20mV/pH 37mV/pH 26.2mV/pH (gain=1) 103.8mV/pH (gain=4) Dual-Mode No No No No Yes Slide 16

18 Conclusions One dual-mode CMOS ISFET sensor is demonstrated in standard CMOS CIS process with state-of-art results small pixel size of 10μm scalable pixel array of fast frame rate of 1200fps sensitivity of 103.8mV/pH (gain=4) Such dual-mode sensor is promising for the next generation high-throughput DNA sequencing and future personalized diagnosis. Slide 17

19 Key References [1] J. M. Rothberg, et al., An integrated semiconductor device enabling nonoptical genome sequencing, Nature, vol. 475, pp , Jul [2] C. Toumazou, et al., Simultaneous DNA amplification and detection using a ph-sensing semiconductor system, Nature Methods, vol. 10, pp , Jun [3] R. R. Singh, et al., A CMOS-Microfluidic Chemiluminescence Contact Imaging Microsystem, J. Solid-State Circuits, vol. 47, pp , Nov [4] T. Hizawa, et. al., Fabrication of a two-dimensional ph image sensor using a charge transfer technique, Sens. Actuat. B Chem., pp , Oct [5] M. J. Milgrew, et al., A 16x16 CMOS proton camera array for direct extracellular imaging of hydrogen-ion activity, ISSCC Dig. Tech. Papers, pp , Feb [6] B. Nemeth, et al., High-resolution real-time ion-camera system using a CMOS-based chemical sensor array for proton imaging, Sens. Actuat. B Chem., Aug [7] W. P. Chan, et al., An integrated ISFETs instrumentation system in standard CMOS technology, J. Solid State Circuits, vol. 45, pp , Sept Slide 18

20 Acknowledgements The authors wish to acknowledge the proof-ofconcept funding support from Singapore National Research Foundation. Also acknowledge the biological samples and experiment help of Prof. Liang Yang from School of Biological Sciences of Nanyang Technological University, Singapore. Slide 19

21 Q & A Thank you! Please send comments to haoyu@ntu.edu.sg Welcome to visit our group website: Slide 20

Fundamentals of CMOS Image Sensors

Fundamentals of CMOS Image Sensors CHAPTER 2 Fundamentals of CMOS Image Sensors Mixed-Signal IC Design for Image Sensor 2-1 Outline Photoelectric Effect Photodetectors CMOS Image Sensor(CIS) Array Architecture CIS Peripherals Design Considerations

More information

A 0.18mm CMOS 10-6 lux Bioluminescence Detection System-on-Chip

A 0.18mm CMOS 10-6 lux Bioluminescence Detection System-on-Chip MP 12.3 A 0.18mm CMOS 10-6 lux Bioluminescence Detection System-on-Chip H. Eltoukhy, K. Salama, A. El Gamal, M. Ronaghi, R. Davis Stanford University Bio-sensor Applications Gene Expression Immunoassay

More information

Trend of CMOS Imaging Device Technologies

Trend of CMOS Imaging Device Technologies 004 6 ( ) CMOS : Trend of CMOS Imaging Device Technologies 3 7110 Abstract Which imaging device survives in the current fast-growing and competitive market, imagers or CMOS imagers? Although this question

More information

Integrated Sensors. David Cumming Department of Electronics and Electrical Engineering University of Glasgow

Integrated Sensors. David Cumming Department of Electronics and Electrical Engineering University of Glasgow Integrated Sensors David Cumming Department of Electronics and Electrical Engineering University of Glasgow Outline Microelectronics Medical Devices Sensing-system-on-chip Extracellular ion imaging Cheap

More information

TRIANGULATION-BASED light projection is a typical

TRIANGULATION-BASED light projection is a typical 246 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 1, JANUARY 2004 A 120 110 Position Sensor With the Capability of Sensitive and Selective Light Detection in Wide Dynamic Range for Robust Active Range

More information

A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers

A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers A 0.55 V 7-bit 160 MS/s Interpolated Pipeline ADC Using Dynamic Amplifiers James Lin, Daehwa Paik, Seungjong Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada

More information

A 1Mjot 1040fps 0.22e-rms Stacked BSI Quanta Image Sensor with Cluster-Parallel Readout

A 1Mjot 1040fps 0.22e-rms Stacked BSI Quanta Image Sensor with Cluster-Parallel Readout A 1Mjot 1040fps 0.22e-rms Stacked BSI Quanta Image Sensor with Cluster-Parallel Readout IISW 2017 Hiroshima, Japan Saleh Masoodian, Jiaju Ma, Dakota Starkey, Yuichiro Yamashita, Eric R. Fossum May 2017

More information

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique

A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique A 15.5 db, Wide Signal Swing, Dynamic Amplifier Using a Common- Mode Voltage Detection Technique James Lin, Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Laḃ

More information

A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer

A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer Po-Wei Chiu, Somnath Kundu, Qianying Tang, and Chris H. Kim University of Minnesota, Minneapolis,

More information

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation

A 35 fj 10b 160 MS/s Pipelined- SAR ADC with Decoupled Flip- Around MDAC and Self- Embedded Offset Cancellation Y. Zu, C.- H. Chan, S.- W. Sin, S.- P. U, R.P. Martins, F. Maloberti: "A 35 fj 10b 160 MS/s Pipelined-SAR ADC with Decoupled Flip-Around MDAC and Self- Embedded Offset Cancellation"; IEEE Asian Solid-

More information

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier

A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier A 12-bit Interpolated Pipeline ADC using Body Voltage Controlled Amplifier Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Body voltage controlled

More information

A Electrochemical CMOS Biosensor Array with In-Pixel Averaging Using Polar Modulation

A Electrochemical CMOS Biosensor Array with In-Pixel Averaging Using Polar Modulation Session 11 - CMOS Biochips and Bioelectronics A 16 20 Electrochemical CMOS Biosensor Array with In-Pixel Averaging Using Polar Modulation Chung-Lun Hsu *, Alexander Sun *, Yunting Zhao *, Eliah Aronoff-Spencer

More information

A 120dB dynamic range image sensor with single readout using in pixel HDR

A 120dB dynamic range image sensor with single readout using in pixel HDR A 120dB dynamic range image sensor with single readout using in pixel HDR CMOS Image Sensors for High Performance Applications Workshop November 19, 2015 J. Caranana, P. Monsinjon, J. Michelot, C. Bouvier,

More information

ULS24 is built on 0.18um CMOS process at a world-leader specialty semiconductor foundry. LDO. 3.3v/1.8v 30mW. SPI uc (32-bit) 12 Mhz OSC

ULS24 is built on 0.18um CMOS process at a world-leader specialty semiconductor foundry. LDO. 3.3v/1.8v 30mW. SPI uc (32-bit) 12 Mhz OSC Product overview The Anitoa ULS24 is an ultra-low-light CMOS image sensor. Its low cost, small form factor and high level of integration make it optimally suited for use in a portable device in medical,

More information

A 3MPixel Multi-Aperture Image Sensor with 0.7µm Pixels in 0.11µm CMOS

A 3MPixel Multi-Aperture Image Sensor with 0.7µm Pixels in 0.11µm CMOS A 3MPixel Multi-Aperture Image Sensor with 0.7µm Pixels in 0.11µm CMOS Keith Fife, Abbas El Gamal, H.-S. Philip Wong Stanford University, Stanford, CA Outline Introduction Chip Architecture Detailed Operation

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.4 25.4 A 1.8V 14b 10MS/s Pipelined ADC in 0.18µm CMOS with 99dB SFDR Yun Chiu, Paul R. Gray, Borivoje Nikolic University of California, Berkeley,

More information

EE 392B: Course Introduction

EE 392B: Course Introduction EE 392B Course Introduction About EE392B Goals Topics Schedule Prerequisites Course Overview Digital Imaging System Image Sensor Architectures Nonidealities and Performance Measures Color Imaging Recent

More information

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7

ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 ISSCC 2004 / SESSION 15 / WIRELESS CONSUMER ICs / 15.7 15.7 A 4µA-Quiescent-Current Dual-Mode Buck Converter IC for Cellular Phone Applications Jinwen Xiao, Angel Peterchev, Jianhui Zhang, Seth Sanders

More information

A 9.35-ENOB, 14.8 fj/conv.-step Fully- Passive Noise-Shaping SAR ADC

A 9.35-ENOB, 14.8 fj/conv.-step Fully- Passive Noise-Shaping SAR ADC A 9.35-ENOB, 14.8 fj/conv.-step Fully- Passive Noise-Shaping SAR ADC Zhijie Chen, Masaya Miyahara, Akira Matsuzawa Tokyo Institute of Technology Symposia on VLSI Technology and Circuits Outline Background

More information

CMOS Active Pixel Sensor Technology for High Performance Machine Vision Applications

CMOS Active Pixel Sensor Technology for High Performance Machine Vision Applications CMOS Active Pixel Sensor Technology for High Performance Machine Vision Applications Nicholas A. Doudoumopoulol Lauren Purcell 1, and Eric R. Fossum 2 1Photobit, LLC 2529 Foothill Blvd. Suite 104, La Crescenta,

More information

A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS

A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS A 1.2V 8 BIT SAR ANALOG TO DIGITAL CONVERTER IN 90NM CMOS Shruti Gatade 1, M. Nagabhushan 2, Manjunath.R 3 1,3 Student, Department of ECE, M S Ramaiah Institute of Technology, Bangalore (India) 2 Assistant

More information

A 1.3 Megapixel CMOS Imager Designed for Digital Still Cameras

A 1.3 Megapixel CMOS Imager Designed for Digital Still Cameras A 1.3 Megapixel CMOS Imager Designed for Digital Still Cameras Paul Gallagher, Andy Brewster VLSI Vision Ltd. San Jose, CA/USA Abstract VLSI Vision Ltd. has developed the VV6801 color sensor to address

More information

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique 1 A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan 2 Outline Motivation Design Concept

More information

IN the present era, CMOS image sensors are being extensively

IN the present era, CMOS image sensors are being extensively JOURNAL OF L A TEX CLASS FILES, VOL. 13, NO. 9, JANUARY 2016 1 1/f Noise Reduction using In-Pixel Chopping in CMOS Image Sensor Kapil Jainwal and Mukul Sarkar, Member IEEE arxiv:1807.11577v1 [physics.ins-det]

More information

Integrated Multi-Aperture Imaging

Integrated Multi-Aperture Imaging Integrated Multi-Aperture Imaging Keith Fife, Abbas El Gamal, Philip Wong Department of Electrical Engineering, Stanford University, Stanford, CA 94305 1 Camera History 2 Camera History Despite progress,

More information

Jan Bogaerts imec

Jan Bogaerts imec imec 2007 1 Radiometric Performance Enhancement of APS 3 rd Microelectronic Presentation Days, Estec, March 7-8, 2007 Outline Introduction Backside illuminated APS detector Approach CMOS APS (readout)

More information

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS

2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS 2008 IEEE ASIA PACIFIC CONFERENCE ON CIRCUITS AND SYSTEMS November 30 - December 3, 2008 Venetian Macao Resort-Hotel Macao, China IEEE Catalog Number: CFP08APC-USB ISBN: 978-1-4244-2342-2 Library of Congress:

More information

High-density CMOS Bioelectronic Chip

High-density CMOS Bioelectronic Chip Direktes Ankoppeln von Hirnzellen an Mikroelektronik 20 μm 50 m Andreas Hierlemann Slide 1 Outline Bioelectronics Fundamentals electrogenic cells action potentials measurements of electric activity CMOS

More information

IMPLEMENTING THE 10-BIT, 50MS/SEC PIPELINED ADC

IMPLEMENTING THE 10-BIT, 50MS/SEC PIPELINED ADC 98 CHAPTER 5 IMPLEMENTING THE 0-BIT, 50MS/SEC PIPELINED ADC 99 5.0 INTRODUCTION This chapter is devoted to describe the implementation of a 0-bit, 50MS/sec pipelined ADC with different stage resolutions

More information

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong

Research and Development Activities in RF and Analog IC Design. RFIC Building Blocks. Single-Chip Transceiver Systems (I) Howard Luong Research and Development Activities in RF and Analog IC Design Howard Luong Analog Research Laboratory Department of Electrical and Electronic Engineering Hong Kong University of Science and Technology

More information

A VCO-Based ADC Employing a Multi- Phase Noise-Shaping Beat Frequency Quantizer for Direct Sampling of Sub-1mV Input Signals

A VCO-Based ADC Employing a Multi- Phase Noise-Shaping Beat Frequency Quantizer for Direct Sampling of Sub-1mV Input Signals A VCO-Based ADC Employing a Multi- Phase Noise-Shaping Beat Frequency Quantizer for Direct Sampling of Sub-1mV Input Signals Bongjin Kim, Somnath Kundu, Seokkyun Ko and Chris H. Kim University of Minnesota,

More information

Workshop ESSCIRC. Low-Power Data Acquisition System For Very Small Signals At Low Frequencies With12-Bit- SAR-ADC. 17. September 2010.

Workshop ESSCIRC. Low-Power Data Acquisition System For Very Small Signals At Low Frequencies With12-Bit- SAR-ADC. 17. September 2010. Workshop ESSCIRC Low-Power Data Acquisition System For Very Small Signals At Low Frequencies With12-Bit- SAR-ADC 17. September 2010 Christof Dohmen Outline System Overview Analog-Front-End Chopper-Amplifier

More information

IN RECENT years, we have often seen three-dimensional

IN RECENT years, we have often seen three-dimensional 622 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 Design and Implementation of Real-Time 3-D Image Sensor With 640 480 Pixel Resolution Yusuke Oike, Student Member, IEEE, Makoto Ikeda,

More information

A 3D Multi-Aperture Image Sensor Architecture

A 3D Multi-Aperture Image Sensor Architecture A 3D Multi-Aperture Image Sensor Architecture Keith Fife, Abbas El Gamal and H.-S. Philip Wong Department of Electrical Engineering Stanford University Outline Multi-Aperture system overview Sensor architecture

More information

Implementation of Pixel Array Bezel-Less Cmos Fingerprint Sensor

Implementation of Pixel Array Bezel-Less Cmos Fingerprint Sensor Article DOI: 10.21307/ijssis-2018-013 Issue 0 Vol. 0 Implementation of 144 64 Pixel Array Bezel-Less Cmos Fingerprint Sensor Seungmin Jung School of Information and Technology, Hanshin University, 137

More information

Demonstration of a Frequency-Demodulation CMOS Image Sensor

Demonstration of a Frequency-Demodulation CMOS Image Sensor Demonstration of a Frequency-Demodulation CMOS Image Sensor Koji Yamamoto, Keiichiro Kagawa, Jun Ohta, Masahiro Nunoshita Graduate School of Materials Science, Nara Institute of Science and Technology

More information

A 19-bit column-parallel folding-integration/cyclic cascaded ADC with a pre-charging technique for CMOS image sensors

A 19-bit column-parallel folding-integration/cyclic cascaded ADC with a pre-charging technique for CMOS image sensors LETTER IEICE Electronics Express, Vol.14, No.2, 1 12 A 19-bit column-parallel folding-integration/cyclic cascaded ADC with a pre-charging technique for CMOS image sensors Tongxi Wang a), Min-Woong Seo

More information

Proposing. An Interpolated Pipeline ADC

Proposing. An Interpolated Pipeline ADC Proposing An Interpolated Pipeline ADC Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Lab. Background 38GHz long range mm-wave system Role of long range mm-wave Current Optical

More information

Characterization of CMOS Image Sensors with Nyquist Rate Pixel Level ADC

Characterization of CMOS Image Sensors with Nyquist Rate Pixel Level ADC Characterization of CMOS Image Sensors with Nyquist Rate Pixel Level ADC David Yang, Hui Tian, Boyd Fowler, Xinqiao Liu, and Abbas El Gamal Information Systems Laboratory, Stanford University, Stanford,

More information

Low Power Highly Miniaturized Image Sensor Technology

Low Power Highly Miniaturized Image Sensor Technology Low Power Highly Miniaturized Image Sensor Technology Barmak Mansoorian* Eric R. Fossum* Photobit LLC 2529 Foothill Blvd. Suite 104, La Crescenta, CA 91214 (818) 248-4393 fax (818) 542-3559 email: barmak@photobit.com

More information

Low-Power Digital Image Sensor for Still Picture Image Acquisition

Low-Power Digital Image Sensor for Still Picture Image Acquisition Low-Power Digital Image Sensor for Still Picture Image Acquisition Steve Tanner a, Stefan Lauxtermann b, Martin Waeny b, Michel Willemin b, Nicolas Blanc b, Joachim Grupp c, Rudolf Dinger c, Elko Doering

More information

Design of Dynamic Latched Comparator with Reduced Kickback Noise

Design of Dynamic Latched Comparator with Reduced Kickback Noise Volume 118 No. 17 2018, 289-298 ISSN: 1311-8080 (printed version); ISSN: 1314-3395 (on-line version) url: http://www.ijpam.eu ijpam.eu Design of Dynamic Latched Comparator with Reduced Kickback Noise N

More information

A 2.5 V 109 db DR ADC for Audio Application

A 2.5 V 109 db DR ADC for Audio Application 276 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.10, NO.4, DECEMBER, 2010 A 2.5 V 109 db DR ADC for Audio Application Gwangyol Noh and Gil-Cho Ahn Abstract A 2.5 V feed-forward second-order deltasigma

More information

Low-power Sigma-Delta AD Converters

Low-power Sigma-Delta AD Converters Low-power Sigma-Delta AD Converters Willy Sansen KULeuven, ESAT-MICAS Leuven, Belgium willy.sansen@esat.kuleuven.be Willy Sansen 10-05 211 Table of contents Delta-sigma modulation The switch problem The

More information

A Rail-to-Rail Input 12b 2 MS/s 0.18 µm CMOS Cyclic ADC for Touch Screen Applications

A Rail-to-Rail Input 12b 2 MS/s 0.18 µm CMOS Cyclic ADC for Touch Screen Applications 160 HEE-CHEOL CHOI et al : A RAIL-TO-RAIL INPUT 12B 2 MS/S 0.18 µm CMOS CYCLIC ADC FOR TOUCH SCREEN APPLICATIONS A Rail-to-Rail Input 12b 2 MS/s 0.18 µm CMOS Cyclic ADC for Touch Screen Applications Hee-Cheol

More information

A Motion Sensor with On-Chip Pixel Rendering Module for Optical Flow Gradient Extraction

A Motion Sensor with On-Chip Pixel Rendering Module for Optical Flow Gradient Extraction A Motion Sensor with On-Chip Pixel Rendering Module for Optical Flow Gradient Extraction Jing Huang, Menghan Guo, Shizheng Wang and Shoushun Chen VIRTUS IC Design Centre of Excellence, School of EEE Nanyang

More information

Ultra-high resolution 14,400 pixel trilinear color image sensor

Ultra-high resolution 14,400 pixel trilinear color image sensor Ultra-high resolution 14,400 pixel trilinear color image sensor Thomas Carducci, Antonio Ciccarelli, Brent Kecskemety Microelectronics Technology Division Eastman Kodak Company, Rochester, New York 14650-2008

More information

A Dynamic Range Expansion Technique for CMOS Image Sensors with Dual Charge Storage in a Pixel and Multiple Sampling

A Dynamic Range Expansion Technique for CMOS Image Sensors with Dual Charge Storage in a Pixel and Multiple Sampling ensors 2008, 8, 1915-1926 sensors IN 1424-8220 2008 by MDPI www.mdpi.org/sensors Full Research Paper A Dynamic Range Expansion Technique for CMO Image ensors with Dual Charge torage in a Pixel and Multiple

More information

Detectors for microscopy - CCDs, APDs and PMTs. Antonia Göhler. Nov 2014

Detectors for microscopy - CCDs, APDs and PMTs. Antonia Göhler. Nov 2014 Detectors for microscopy - CCDs, APDs and PMTs Antonia Göhler Nov 2014 Detectors/Sensors in general are devices that detect events or changes in quantities (intensities) and provide a corresponding output,

More information

ABSTRACT. Section I Overview of the µdss

ABSTRACT. Section I Overview of the µdss An Autonomous Low Power High Resolution micro-digital Sun Sensor Ning Xie 1, Albert J.P. Theuwissen 1, 2 1. Delft University of Technology, Delft, the Netherlands; 2. Harvest Imaging, Bree, Belgium; ABSTRACT

More information

European Low Flux CMOS Image Sensor

European Low Flux CMOS Image Sensor European Low Flux CMOS Image Sensor Description and Preliminary Results Ajit Kumar Kalgi 1, Wei Wang 1, Bart Dierickx 1, Dirk Van Aken 1, Kaiyuan Wu 1, Alexander Klekachev 1, Gerlinde Ruttens 1, Kyriaki

More information

The Wide Field Imager

The Wide Field Imager Athena Kickoff Meeting Garching, 29.January 2014 The Wide Field Imager Norbert Meidinger, Athena WFI project leader WFI Flight Hardware Architecture (1 st Draft) DEPFET APS Concept Active pixel sensor

More information

FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS

FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS FUTURE PROSPECTS FOR CMOS ACTIVE PIXEL SENSORS Dr. Eric R. Fossum Jet Propulsion Laboratory Dr. Philip H-S. Wong IBM Research 1995 IEEE Workshop on CCDs and Advanced Image Sensors April 21, 1995 CMOS APS

More information

Highly Miniaturised Radiation Monitor (HMRM) Status Report. Yulia Bogdanova, Nicola Guerrini, Ben Marsh, Simon Woodward, Rain Irshad

Highly Miniaturised Radiation Monitor (HMRM) Status Report. Yulia Bogdanova, Nicola Guerrini, Ben Marsh, Simon Woodward, Rain Irshad Highly Miniaturised Radiation Monitor (HMRM) Status Report Yulia Bogdanova, Nicola Guerrini, Ben Marsh, Simon Woodward, Rain Irshad HMRM programme aim Aim of phase A/B: Develop a chip sized prototype radiation

More information

E19 PTC and 4T APS. Cristiano Rocco Marra 20/12/2017

E19 PTC and 4T APS. Cristiano Rocco Marra 20/12/2017 POLITECNICO DI MILANO MSC COURSE - MEMS AND MICROSENSORS - 2017/2018 E19 PTC and 4T APS Cristiano Rocco Marra 20/12/2017 In this class we will introduce the photon transfer tecnique, a commonly-used routine

More information

Small Area DAC using SC Integrator for SAR ADC

Small Area DAC using SC Integrator for SAR ADC Small Area DAC using SC Integrator for SAR ADC Electronic Engineering Chonbuk National University 567 Baekje-daero, deokjin-gu, Jeonju-si, Jeollabuk-do 54896 Republic of Korea Republic of Korea 01650164@jbnu.ac.kr

More information

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 http://dx.doi.org/10.5573/jsts.2012.12.4.405 An 8-Gb/s Inductorless Adaptive Passive Equalizer in 0.18- µm CMOS Technology

More information

Asynchronous SAR ADC: Past, Present and Beyond. Mike Shuo-Wei Chen University of Southern California MWSCAS 2014

Asynchronous SAR ADC: Past, Present and Beyond. Mike Shuo-Wei Chen University of Southern California MWSCAS 2014 Asynchronous SAR ADC: Past, Present and Beyond Mike Shuo-Wei Chen University of Southern California MWSCAS 2014 1 Roles of ADCs Responsibility of ADC is increasing more BW, more dynamic range Potentially

More information

An Smart Transducer Readout Circuit for Multi-parameter Sensor System

An Smart Transducer Readout Circuit for Multi-parameter Sensor System An Smart Transducer Readout Circuit for Multi-parameter System Te-Hsuen Tzeng, Yu-Ying Chou, Yu-Jie Huang, Yu-Hao Chen and Shey-Shi Lu, Senior Member, IEEE Abstract A smart transducer readout circuitry,

More information

A stability-improved single-opamp third-order ΣΔ modulator by using a fully-passive noise-shaping SAR ADC and passive adder

A stability-improved single-opamp third-order ΣΔ modulator by using a fully-passive noise-shaping SAR ADC and passive adder A stability-improved single-opamp third-order ΣΔ modulator by using a fully-passive noise-shaping SAR ADC and passive adder Zhijie Chen, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology,

More information

SOFIST ver.2 for the ILC vertex detector

SOFIST ver.2 for the ILC vertex detector SOFIST ver.2 for the ILC vertex detector Proposal of SOI sensor for ILC: SOFIST SOI sensor for Fine measurement of Space and Time Miho Yamada (KEK) IHEP Mini Workshop at IHEP Beijing 2016/07/15 SOFIST ver.2

More information

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique

A 4b/cycle Flash-assisted SAR ADC with Comparator Speed-boosting Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.18, NO.2, APRIL, 2018 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2018.18.2.281 ISSN(Online) 2233-4866 A 4b/cycle Flash-assisted SAR ADC with

More information

PAPER Pixel-Level Color Demodulation Image Sensor for Support of Image Recognition

PAPER Pixel-Level Color Demodulation Image Sensor for Support of Image Recognition 2164 IEICE TRANS. ELECTRON., VOL.E87 C, NO.12 DECEMBER 2004 PAPER Pixel-Level Color Demodulation Image Sensor for Support of Image Recognition Yusuke OIKE a), Student Member, Makoto IKEDA, and Kunihiro

More information

Automotive Image Sensors

Automotive Image Sensors Automotive Image Sensors February 1st 2018 Boyd Fowler and Johannes Solhusvik 1 Outline Automotive Image Sensor Market and Applications Viewing Sensors HDR Flicker Mitigation Machine Vision Sensors In

More information

arxiv: v1 [physics.ins-det] 31 Jul 2013

arxiv: v1 [physics.ins-det] 31 Jul 2013 Preprint typeset in JINST style - HYPER VERSION arxiv:138.28v1 [physics.ins-det] 31 Jul 213 A Radiation-Hard Dual Channel 4-bit Pipeline for a 12-bit 4 MS/s ADC Prototype with extended Dynamic Range for

More information

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems

Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems Comparison between Analog and Digital Current To PWM Converter for Optical Readout Systems 1 Eun-Jung Yoon, 2 Kangyeob Park, 3* Won-Seok Oh 1, 2, 3 SoC Platform Research Center, Korea Electronics Technology

More information

Modelling and Simulation of a SAR ADC with Internally Generated Conversion Signal

Modelling and Simulation of a SAR ADC with Internally Generated Conversion Signal IOSR Journal of VLSI and Signal Processing (IOSR-JVSP) Volume 5, Issue 1, Ver. I (Jan - Feb. 2015), PP 36-41 e-issn: 2319 4200, p-issn No. : 2319 4197 www.iosrjournals.org Modelling and Simulation of a

More information

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3

ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3 ISSCC 2004 / SESSION 25 / HIGH-RESOLUTION NYQUIST ADCs / 25.3 25.3 A 96dB SFDR 50MS/s Digitally Enhanced CMOS Pipeline A/D Converter K. Nair, R. Harjani University of Minnesota, Minneapolis, MN Analog-to-digital

More information

Advanced output chains for CMOS image sensors based on an active column sensor approach a detailed comparison

Advanced output chains for CMOS image sensors based on an active column sensor approach a detailed comparison Sensors and Actuators A 116 (2004) 304 311 Advanced output chains for CMOS image sensors based on an active column sensor approach a detailed comparison Shai Diller, Alexander Fish, Orly Yadid-Pecht 1

More information

DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION

DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION SANTOSH KUMAR PATNAIK 1, DR. SWAPNA BANERJEE 2 1,2 E & ECE Department, Indian Institute of Technology, Kharagpur, Kharagpur, India Abstract-This

More information

Measurement results of DIPIX pixel sensor developed in SOI technology

Measurement results of DIPIX pixel sensor developed in SOI technology Measurement results of DIPIX pixel sensor developed in SOI technology Mohammed Imran Ahmed a,b, Yasuo Arai c, Marek Idzik a, Piotr Kapusta b, Toshinobu Miyoshi c, Micha l Turala b a AGH University of Science

More information

Simulation of High Resistivity (CMOS) Pixels

Simulation of High Resistivity (CMOS) Pixels Simulation of High Resistivity (CMOS) Pixels Stefan Lauxtermann, Kadri Vural Sensor Creations Inc. AIDA-2020 CMOS Simulation Workshop May 13 th 2016 OUTLINE 1. Definition of High Resistivity Pixel Also

More information

A Low Power Dual CDS for a Column-Parallel CMOS Image Sensor

A Low Power Dual CDS for a Column-Parallel CMOS Image Sensor http://dx.doi.org/10.5573/jsts.2012.12.4.388 JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 A Low Power Dual CDS for a Column-Parallel CMOS Image Sensor Kyuik Cho, Daeyun

More information

A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping

A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping A Switched Decoupling Capacitor Circuit for On-Chip Supply Resonance Damping Jie Gu, Hanyong Eom and Chris H. Kim Department of Electrical and Computer Engineering University of Minnesota, Minneapolis

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

Chapter 3 Wide Dynamic Range & Temperature Compensated Gain CMOS Image Sensor in Automotive Application. 3.1 System Architecture

Chapter 3 Wide Dynamic Range & Temperature Compensated Gain CMOS Image Sensor in Automotive Application. 3.1 System Architecture Chapter 3 Wide Dynamic Range & Temperature Compensated Gain CMOS Image Sensor in Automotive Application Like the introduction said, we can recognize the problem would be suffered on image sensor in automotive

More information

Power and Area Efficient Column-Parallel ADC Architectures for CMOS Image Sensors

Power and Area Efficient Column-Parallel ADC Architectures for CMOS Image Sensors Power and Area Efficient Column-Parallel ADC Architectures for CMOS Image Sensors Martijn Snoeij 1,*, Albert Theuwissen 1,2, Johan Huijsing 1 and Kofi Makinwa 1 1 Delft University of Technology, The Netherlands

More information

A Low Phase Noise 24/77 GHz Dual-Band Sub-Sampling PLL for Automotive Radar Applications in 65 nm CMOS Technology

A Low Phase Noise 24/77 GHz Dual-Band Sub-Sampling PLL for Automotive Radar Applications in 65 nm CMOS Technology A Low Phase Noise 24/77 GHz Dual-Band Sub-Sampling PLL for Automotive Radar Applications in 65 nm CMOS Technology Xiang Yi, Chirn Chye Boon, Junyi Sun, Nan Huang and Wei Meng Lim VIRTUS, Nanyang Technological

More information

A 6-bit Subranging ADC using Single CDAC Interpolation

A 6-bit Subranging ADC using Single CDAC Interpolation A 6-bit Subranging ADC using Single CDAC Interpolation Hyunui Lee, Masaya Miyahara, and Akira Matsuzawa Tokyo Institute of Technology, Japan Outline Background Interpolation techniques 6-bit, 500 MS/s

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

Low Power Sensors for Urban Water System Applications

Low Power Sensors for Urban Water System Applications Hong Kong University of Science and Technology Electronic and Computer Engineering Department Low Power Sensors for Urban Water System Applications Prof. Amine Bermak Workshop on Smart Urban Water Systems

More information

Architectures and circuits for timeinterleaved. Sandeep Gupta Teranetics, Santa Clara, CA

Architectures and circuits for timeinterleaved. Sandeep Gupta Teranetics, Santa Clara, CA Architectures and circuits for timeinterleaved ADC s Sandeep Gupta Teranetics, Santa Clara, CA Outline Introduction to time-interleaved architectures. Conventional Sampling architectures and their application

More information

More Imaging Luc De Mey - CEO - CMOSIS SA

More Imaging Luc De Mey - CEO - CMOSIS SA More Imaging Luc De Mey - CEO - CMOSIS SA Annual Review / June 28, 2011 More Imaging CMOSIS: Vision & Mission CMOSIS s Business Concept On-Going R&D: More Imaging CMOSIS s Vision Image capture is a key

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication

Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication Integration of Optoelectronic and RF Devices for Applications in Optical Interconnect and Wireless Communication Zhaoran (Rena) Huang Assistant Professor Department of Electrical, Computer and System Engineering

More information

Characterisation of a CMOS Charge Transfer Device for TDI Imaging

Characterisation of a CMOS Charge Transfer Device for TDI Imaging Preprint typeset in JINST style - HYPER VERSION Characterisation of a CMOS Charge Transfer Device for TDI Imaging J. Rushton a, A. Holland a, K. Stefanov a and F. Mayer b a Centre for Electronic Imaging,

More information

A CMOS Image Sensor with Ultra Wide Dynamic Range Floating-Point Pixel-Level ADC

A CMOS Image Sensor with Ultra Wide Dynamic Range Floating-Point Pixel-Level ADC A 640 512 CMOS Image Sensor with Ultra Wide Dynamic Range Floating-Point Pixel-Level ADC David X.D. Yang, Abbas El Gamal, Boyd Fowler, and Hui Tian Information Systems Laboratory Electrical Engineering

More information

Design and Implementation of a Low Power Successive Approximation ADC. Xin HUANG, Xiao-ning XIN, Jian REN* and Xin-lei CHEN

Design and Implementation of a Low Power Successive Approximation ADC. Xin HUANG, Xiao-ning XIN, Jian REN* and Xin-lei CHEN 2018 International Conference on Mechanical, Electronic and Information Technology (ICMEIT 2018) ISBN: 978-1-60595-548-3 Design and Implementation of a Low Power Successive Approximation ADC Xin HUANG,

More information

VGA CMOS Image Sensor

VGA CMOS Image Sensor VGA CMOS Image Sensor BF3703 Datasheet 1. General Description The BF3703 is a highly integrated VGA camera chip which includes CMOS image sensor (CIS) and image signal processing function (ISP). It is

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

A CMOS Image Sensor With Dark-Current Cancellation and Dynamic Sensitivity Operations

A CMOS Image Sensor With Dark-Current Cancellation and Dynamic Sensitivity Operations IEEE TRANSACTIONS ON ELECTRON DEVICES, VOL. 50, NO. 1, JANUARY 2003 91 A CMOS Image Sensor With Dark-Current Cancellation and Dynamic Sensitivity Operations Hsiu-Yu Cheng and Ya-Chin King, Member, IEEE

More information

MANY integrated circuit applications require a unique

MANY integrated circuit applications require a unique IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 1, JANUARY 2008 69 A Digital 1.6 pj/bit Chip Identification Circuit Using Process Variations Ying Su, Jeremy Holleman, Student Member, IEEE, and Brian

More information

J. E. Brau, N. B. Sinev, D. M. Strom University of Oregon, Eugene. C. Baltay, H. Neal, D. Rabinowitz Yale University, New Haven

J. E. Brau, N. B. Sinev, D. M. Strom University of Oregon, Eugene. C. Baltay, H. Neal, D. Rabinowitz Yale University, New Haven Chronopixe status J. E. Brau, N. B. Sinev, D. M. Strom University of Oregon, Eugene C. Baltay, H. Neal, D. Rabinowitz Yale University, New Haven EE work is contracted to Sarnoff Corporation 1 Outline of

More information

CHARGE-COUPLED device (CCD) technology has been. Photodiode Peripheral Utilization Effect on CMOS APS Pixel Performance Suat Utku Ay, Member, IEEE

CHARGE-COUPLED device (CCD) technology has been. Photodiode Peripheral Utilization Effect on CMOS APS Pixel Performance Suat Utku Ay, Member, IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 55, NO. 6, JULY 2008 1405 Photodiode Peripheral Utilization Effect on CMOS APS Pixel Performance Suat Utku Ay, Member, IEEE Abstract A

More information

COMETH: a CMOS pixel sensor for a highly miniaturized high-flux radiation monitor

COMETH: a CMOS pixel sensor for a highly miniaturized high-flux radiation monitor COMETH: a CMOS pixel sensor for a highly miniaturized high-flux radiation monitor Yang Zhou 1, Jérôme Baudot, Christine Hu-Guo, Yann Yu, Kimmo Jaaskelainen and Marc Winter IPHC/CNRS, Université de Strasbourg

More information

Development of CMOS pixel sensors for tracking and vertexing in high energy physics experiments

Development of CMOS pixel sensors for tracking and vertexing in high energy physics experiments PICSEL group Development of CMOS pixel sensors for tracking and vertexing in high energy physics experiments Serhiy Senyukov (IPHC-CNRS Strasbourg) on behalf of the PICSEL group 7th October 2013 IPRD13,

More information

Two calibration methods to improve the linearity of a CMOS image sensor

Two calibration methods to improve the linearity of a CMOS image sensor Bandgap& Bias circuit Row Decoder/Driver UX Two calibration methods to improve the linearity of a COS image sensor Fei Wang, Albert Theuwissen, Delft University of Technology, Delft, the Netherlands, Harvest

More information

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity

Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Low-Power RF Integrated Circuit Design Techniques for Short-Range Wireless Connectivity Marvin Onabajo Assistant Professor Analog and Mixed-Signal Integrated Circuits (AMSIC) Research Laboratory Dept.

More information

IEEE. Proof. CHARGE-COUPLED device (CCD) technology has been

IEEE. Proof. CHARGE-COUPLED device (CCD) technology has been TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 55, NO. 6, JULY 2008 1 Photodiode Peripheral Utilization Effect on CMOS APS Pixel Performance Suat Utku Ay, Member, Abstract A photodiode (PD)-type

More information

A High-frequency Transimpedance Amplifier for CMOS Integrated 2D CMUT Array towards 3D Ultrasound Imaging

A High-frequency Transimpedance Amplifier for CMOS Integrated 2D CMUT Array towards 3D Ultrasound Imaging A High-frequency Transimpedance Amplifier for CMOS Integrated 2D CMUT Array towards 3D Ultrasound Imaging Xiwei Huang 1, Jia Hao Cheong 2, Hyouk-Kyu Cha 3, Hongbin Yu 2, Minkyu Je 4, and Hao Yu 1* 1. School

More information