A 24Gb/s Software Programmable Multi-Channel Transmitter

Size: px
Start display at page:

Download "A 24Gb/s Software Programmable Multi-Channel Transmitter"

Transcription

1 A 24Gb/s Software Programmable Multi-Channel Transmitter A. Amirkhany 1, A. Abbasfar 2, J. Savoj 2, M. Jeeradit 2, B. Garlepp 2, V. Stojanovic 2,3, M. Horowitz 1,2 1 Stanford University 2 Rambus Inc 3 Massachusetts Institute of Technology

2 24Gb/s Transmitter FPGA Interface e A test instrument for verifying different transmission algorithms Multiple operation modes 2-channel or 4-chanennel Analog Multi-Tone (AMT) 2PAM, 4PAM, 8PAM, baseband Software programmable 2

3 High-Speed Electrical Links 3 Daughter card Backplane Network Routers Memory cards Chip B Chip A DRAM Memory controller PCB PCB CPU/Controller to DRAM CPU to GPU

4 State of the Art Links Rx W 1 W 2 W 3 W 4 Line Driver Tx Wb 1 Wb 2 Wb k Baseband 2PAM or 4PAM 4-5 tap discrete linear transmit equalizer 5-20 tap decision feedback equalizer (DFE) 4

5 Channel Characteristics in Links 0-10 Frequency Response Chip to Chip db Multi-Drop (Memory) -40 Backplane Frequency (GHz) Notches caused by reflections From impedance discontinuities E.g. vias, stubs, package, parasitic capacitance, etc Multi-Tone signaling can improve performance 5

6 A Practical AMT Architecture X N-1 N Equalizer (W N-1 ) Integrate Z N-1 X N-2 N Equalizer (W N-2 ) Channel Integrate t Z N-2 X 0 Z 0 N Equalizer (W 1 1) Transmitter Receiver Integrate MIMO DFE Small number of sub-channels (N) 2, 3, or 4 in most cases N-times over-sampled equalizer per sub-channel at the transmitter Multi-Input Multi-Output (MIMO) DFE at the receiver AMT is a generalization of a baseband system 6

7 Two-Channel Example sampling Interference zero at the sampling points Called a Trans-multiplexer 7

8 Evolution o of a Baseband Tx Equalizer 4-tap BB transmitter x 0 x 3 w 0 w 1 w 2 w3 x 1 x 2 2-way parallelize x 0 x 2 x 3 w 0 w 1 w 2 w 3 0 w 0 w 1 w 2 w 3 0 x 1 Shift x to the left Shift W to the right x 0 x 2 x 1 w 0 w 1 w 2 w w 0 w 1 w 2 w 3 x 3 Represent as over-sampled equalizer x 0 2 x 2 x 1 2 w 0 w 1 w 2 w w 0 w 1 w 2 w 3 x 3 8

9 AMT is a Generalization of Baseband 4-tap Baseband 2-Channel AMT (2-way parallelized) 4 taps per channel AMT has more degrees of freedom Better capable of shaping the transmit spectrum MIMO DFE is also a generalization of a BB DFE 9

10 Software Programmable Transmitter Equivalent functionality 16-tap FIR filter at 12GHz 2-bit inputs (4PAM) and 10-bit taps 10

11 Measured Eye Diagrams Baseband Mode AMT Mode 2PAM 2PAM 4PAM Ch1 Ch2 Ch3 Ch4 Un-Equalized Equalized Equalized 4-channel AMT (Equalized Post Processed) 12Gb/s 12Gb/s 24Gb/s 18Gb/s On an oscilloscope Rx implemented in Matlab 11

12 12GS/s Digital to Analog Converter 2-way output t multiplexed l current-mode DAC Termination supply 1.8V Unused current dumped to 1.0V to save power 18V 1.8V pp output swing Savoj, et al, 12GS/s Phase Calibrated CMOS DAC, Companion paper, Session 7 12

13 13 Digital Equalizer Datapath (One Phase) Mux 4x1 Mux 4x1 Mux 4x1 Mux 4x1 Mux 4x1 p Comp 4:2-1 st stage Mux 4x1 p Thermometer Encoder Comp 4:2 2 nd stage Flip Flop Comp 4:2-1 st stage p p rd stage p omp 4:2 2 nd stage p Comp 4:2-1 st stage p Mux 4x1 Mux 4x1 Mux 4x1 Comp 4:2 1 st stage p Mux 4x1 Flip Flo Mux 4x1 Flip Flo Flip Flo Adder Flip Flo Comp 4:2 3 r Flip Flo Flip Flo Flip Flo Flip Flo Mux 4x1 Mux 4x1 C Mux 4x1 Mux 4x1 Mux 4x1 Multiply 16 2-bit numbers by bit numbers Multiplication using 4:1 multiplexers W and 3W stored in flops Add results using 4:2 compressor units 2-way parallelized to operate with a 1.5GHz clock

14 Equalizer Floorplan Phase 1 output pins 300 Phase 2 Input pins Phase Phase μm 14

15 Complete Equalizer with Routing Post Route layout in SOC Encounter 15

16 Transmitter Clocking Phase interpolator (PI) between DAC and equalizer Programmed offline Mesh 1.5GHz clock distribution in the equalizer Pattern generator clock branches off from equalizer grid Part of the clock distribution latency in the critical path 16

17 Performance Summary Measured Transmitter Performance Chip Micrograph Process Maximum Rate Digital Power Analog Power Area Output Swing 90nm CMOS 29Gb/s 350mW 160mW 0.8mm 2 1.6V pp 21mW/Gbps 17

18 Multi-Tone Operation Tx Rx 0 Multi-Drop Configuration C i = 1pF Frequency Response -10 db Frequency (GHz) Measured 3-Channel AMT, 9Gb/s 18

19 Multi-PAM Operation +3 2PAM/4PAM symbols Y = X 1 + 2X 2 (4PAM) (2PAM) (2PAM) Y(4PAM) w 0 w 1 w 2 w 3 X 1 (2PAM) X 2 (2PAM) w 0 w 1 w 2 w 3 2w 0 2w 1 2w 2 2w 3 Tx configuration in 8PAM/16PAM mode 19

20 Fractional Equalization Measured 8PAM Baseband, 18Gb/s 20

21 Cyclically y Time-Variant Equalization 3GHz - I Equalizer Phase 1 Equalizer Phase 3 Equalizer Phase 2 Equalizer Phase GS/s DAC 3GHz - Q 6GS/s DAC 6GHz GS/s DAC 4 different paths to output 4 different responses Time-Invariant Equalization SIDR = 26dB 28Gb/s Time-Variant a Equalization SIDR = 31dB 28Gb/s A. Amirkhany, et al, Time-Variant Characterization and Compensation of Wideband Circuits, CICC

22 Conclusions A 4-way parallelized equalizer with each parallel branch programmed independently supports Analog Multi-Tone Multi-level baseband Fractional (over-sampled equalization) Cyclically time-variant equalization Power overhead due to digital implementation Instead of pseudo-dac Area overhead for storing more tap coefficients 22

23 Digital Implementation Overhead A4-tap 2PAM 6Gbps Tx 8-bit 2:1 MUX + w -w 4x8 Add four 8-bit numbers Compressor 8-bit Adder To 7-bit DAC x 4 Power 0.5mW 10.3 mw Includes clock power 5.0 mw inside flops Area 960 um 2 16,000 um 2 8,000 um 2 Total Power Overhead = 16.0 mw (2.6mW/Gbps) Total Area Overhead = 25,000um 2 Compared to a Pseudo-DAC implementation 23

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 207 Lecture 8: RX FIR, CTLE, DFE, & Adaptive Eq. Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 4 Report and Prelab

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 0 Lecture 8: RX FIR, CTLE, & DFE Equalization Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam is

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

High-Speed Links. Agenda : High Speed Links

High-Speed Links. Agenda : High Speed Links High-Speed Links Vladimir Stojanovic (with slides from M. Horowitz, J. Zerbe, K.Yang and W. Ellersick) EE371 Lecture 16 Agenda : High Speed Links High-Speed Links, What,Where? Signaling Faster - Evolution»

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

A Serial Link Transceiver Based on 8 GSa/s A/D and D/A Converters

A Serial Link Transceiver Based on 8 GSa/s A/D and D/A Converters A Serial Link Transceiver Based on 8 GSa/s A/D and D/A Converters in 0.25µm m CMOS William Ellersick 1,3, Chih-Kong Ken Yang 2 Vladimir Stojanovic 1, Siamak Modjtahedi 2, Mark A. Horowitz 1 1 Stanford

More information

ISSCC 2006 / SESSION 4 / GIGABIT TRANSCEIVERS / 4.1

ISSCC 2006 / SESSION 4 / GIGABIT TRANSCEIVERS / 4.1 SSCC 006 / SESSON 4 / GGABT TRANSCEVERS / 4. 4. A 0Gb/s 5-Tap-/4-Tap-FFE Transceiver in 90nm CMOS M. Meghelli, S. Rylov, J. Bulzacchelli, W. Rhee, A. Rylyakov, H. Ainspan, B. Parker, M. Beakes, A. Chung,

More information

A Serial Link Transceiver Based on 8 GSa/s A/D and D/A Converters

A Serial Link Transceiver Based on 8 GSa/s A/D and D/A Converters A Serial Link Transceiver Based on 8 GSa/s A/D and D/A Converters in 0.25µm m CMOS William Ellersick 1,3, Chih-Kong Ken Yang 2 Vladimir Stojanovic 1, Siamak Modjtahedi 2, Mark A. Horowitz 1 1 Stanford

More information

Ultra-high-speed Interconnect Technology for Processor Communication

Ultra-high-speed Interconnect Technology for Processor Communication Ultra-high-speed Interconnect Technology for Processor Communication Yoshiyasu Doi Samir Parikh Yuki Ogata Yoichi Koyanagi In order to improve the performance of storage systems and servers that make up

More information

A CMOS UWB Transmitter for Intra/Inter-chip Wireless Communication

A CMOS UWB Transmitter for Intra/Inter-chip Wireless Communication A CMOS UWB Transmitter for Intra/Inter-chip Wireless Communication Pran Kanai Saha, Nobuo Sasaki and Takamaro Kikkawa Research Center For Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama,

More information

16 Gbps 4-PAM Signal Comparison for Real-Time Testing of Multi-Level Signal Transmitters

16 Gbps 4-PAM Signal Comparison for Real-Time Testing of Multi-Level Signal Transmitters 16 Gbps 4-PAM Signal Comparison for Real- Testing of Multi-Level Signal Transmitters Masahiro Ishida Advantest Corporation Abstract This article proposes a method for testing a device with multi-level

More information

100 Gb/s: The High Speed Connectivity Race is On

100 Gb/s: The High Speed Connectivity Race is On 100 Gb/s: The High Speed Connectivity Race is On Cathy Liu SerDes Architect, LSI Corporation Harold Gomard SerDes Product Manager, LSI Corporation October 6, 2010 Agenda 100 Gb/s Ethernet evolution SoC

More information

EE290C Spring Lecture 5: Equalization Techniques. Elad Alon Dept. of EECS 9" FR4 26" FR4. 9" FR4, via stub.

EE290C Spring Lecture 5: Equalization Techniques. Elad Alon Dept. of EECS 9 FR4 26 FR4. 9 FR4, via stub. EE29C Spring 211 Lecture 5: Equalization Techniques Elad Alon Dept. of EECS Link Channels Attenuation [db] -1-2 -3-4 -5 9" FR4, via stub 9" FR4 26" FR4-6 26" FR4, via stub 2 4 6 8 1 frequency [GHz] EE29C

More information

A Pin and Power Efficient Low Latency 8-12Gb/s/wire 8b8w- Coded SerDes Link for High Loss Channels in 40nm Technology

A Pin and Power Efficient Low Latency 8-12Gb/s/wire 8b8w- Coded SerDes Link for High Loss Channels in 40nm Technology A Pin and Power Efficient Low Latency 8-12Gb/s/wire 8b8w- Coded SerDes Link for High Loss Channels in 40nm Technology Anant Singh 1, Dario Carnelli 1, Altay Falay 1, Klaas Hofstra 1, Fabio Licciardello

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab.

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab. High-Speed Circuits and Systems Laboratory B.M.Yu 1 Content 1. Introduction 2. Pre-emphasis 1. Amplitude pre-emphasis 2. Phase pre-emphasis 3. Circuit implantation 4. Result 5. Conclusion 2 Introduction

More information

Another way to implement a folding ADC

Another way to implement a folding ADC Another way to implement a folding ADC J. Van Valburg and R. van de Plassche, An 8-b 650 MHz Folding ADC, IEEE JSSC, vol 27, #12, pp. 1662-6, Dec 1992 Coupled Differential Pair J. Van Valburg and R. van

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

DIGITAL SIGNAL PROCESSING WITH VHDL

DIGITAL SIGNAL PROCESSING WITH VHDL DIGITAL SIGNAL PROCESSING WITH VHDL GET HANDS-ON FROM THEORY TO PRACTICE IN 6 DAYS MODEL WITH SCILAB, BUILD WITH VHDL NUMEROUS MODELLING & SIMULATIONS DIRECTLY DESIGN DSP HARDWARE Brought to you by: Copyright(c)

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

High-Speed Link Tuning Using Signal Conditioning Circuitry in Stratix V Transceivers

High-Speed Link Tuning Using Signal Conditioning Circuitry in Stratix V Transceivers High-Speed Link Tuning Using Signal Conditioning Circuitry in Stratix V Transceivers AN678 Subscribe This application note provides a set of guidelines to run error free across backplanes at high-speed

More information

A Power-Scalable 7-Tap FIR Equalizer with Tunable Active Delay Line for 10-to-25Gb/s Multi-Mode Fiber EDC in 28nm LP-CMOS

A Power-Scalable 7-Tap FIR Equalizer with Tunable Active Delay Line for 10-to-25Gb/s Multi-Mode Fiber EDC in 28nm LP-CMOS A Power-Scalable 7-Tap FIR Equalizer with Tunable Active Delay Line for 10-to-25Gb/s Multi-Mode Fiber EDC in 28nm LP-CMOS E. Mammei, F. Loi, F. Radice*, A. Dati*, M. Bruccoleri*, M. Bassi, A. Mazzanti

More information

Full Duplex CMOS Transceiver with On-Chip Self-Interference Cancelation. Seyyed Amir Ayati

Full Duplex CMOS Transceiver with On-Chip Self-Interference Cancelation. Seyyed Amir Ayati Full Duplex CMOS Transceiver with On-Chip Self-Interference Cancelation by Seyyed Amir Ayati A Dissertation Presented in Partial Fulfillment of the Requirements for the Degree Doctor of Philosophy Approved

More information

Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT

Case5:08-cv PSG Document Filed09/17/13 Page1 of 11 EXHIBIT Case5:08-cv-00877-PSG Document578-15 Filed09/17/13 Page1 of 11 EXHIBIT N ISSCC 2004 Case5:08-cv-00877-PSG / SESSION 26 / OPTICAL AND Document578-15 FAST I/O / 26.10 Filed09/17/13 Page2 of 11 26.10 A PVT

More information

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2 13.2 An MLSE Receiver for Electronic-Dispersion Compensation of OC-192 Fiber Links Hyeon-min Bae 1, Jonathan Ashbrook 1, Jinki Park 1, Naresh Shanbhag 2, Andrew Singer 2, Sanjiv Chopra 1 1 Intersymbol

More information

BER-optimal ADC for Serial Links

BER-optimal ADC for Serial Links BER-optimal ADC for Serial Links Speaker Name: Yingyan Lin Co-authors: Min-Sun Keel, Adam Faust, Aolin Xu, Naresh R. Shanbhag, Elyse Rosenbaum, and Andrew Singer Advisor s name: Naresh R. Shanbhag Affiliation:

More information

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to.

Technology Timeline. Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs. FPGAs. The Design Warrior s Guide to. FPGAs 1 CMPE 415 Technology Timeline 1945 1950 1955 1960 1965 1970 1975 1980 1985 1990 1995 2000 Transistors ICs (General) SRAMs & DRAMs Microprocessors SPLDs CPLDs ASICs FPGAs The Design Warrior s Guide

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN72: High-Speed Links Circuits and Systems Spring 217 Lecture 4: Channel Pulse Model & Modulation Schemes Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Lab 1 Report

More information

High-Speed Hardware Efficient FIR Compensation Filter for Delta-Sigma Modulator Analog-to-Digital Converter in 0.13 μm CMOS Technology

High-Speed Hardware Efficient FIR Compensation Filter for Delta-Sigma Modulator Analog-to-Digital Converter in 0.13 μm CMOS Technology High-Speed Hardware Efficient FIR Compensation for Delta-Sigma Modulator Analog-to-Digital Converter in 0.13 CMOS Technology BOON-SIANG CHEAH and RAY SIFERD Department of Electrical Engineering Wright

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN60: Network Theory Broadband Circuit Design Fall 014 Lecture 13: Frequency Synthesizer Examples Sam Palermo Analog & Mixed-Signal Center Texas A&M University Agenda Frequency Synthesizer Examples Design

More information

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 http://dx.doi.org/10.5573/jsts.2012.12.4.405 An 8-Gb/s Inductorless Adaptive Passive Equalizer in 0.18- µm CMOS Technology

More information

Design for MOSIS Educational Program (Research) Testing Report for Project Number 89742

Design for MOSIS Educational Program (Research) Testing Report for Project Number 89742 Design for MOSIS Educational Program (Research) Testing Report for Project Number 89742 Prepared By: Kossi Sessou (Graduate Student) and Nathan Neihart (Assistant Professor) Bin Huang (Graduate Student)

More information

Overview and Challenges

Overview and Challenges RF/RF-SoC Overview and Challenges Fang Chen May 14, 2004 1 Content What is RF Research Topics in RF RF IC Design/Verification RF IC System Design Circuit Implementation What is RF-SoC Design Methodology

More information

IMPLEMENTING THE 10-BIT, 50MS/SEC PIPELINED ADC

IMPLEMENTING THE 10-BIT, 50MS/SEC PIPELINED ADC 98 CHAPTER 5 IMPLEMENTING THE 0-BIT, 50MS/SEC PIPELINED ADC 99 5.0 INTRODUCTION This chapter is devoted to describe the implementation of a 0-bit, 50MS/sec pipelined ADC with different stage resolutions

More information

Multi-gigabit signaling with CMOS

Multi-gigabit signaling with CMOS Multi-gigabit signaling with CMOS William J. Dally - Massachusetts Institute of Technology John Poulton - University of North Carolina @ Chapel Hill Steve Tell - University of North Carolina @ Chapel Hill

More information

Effect of Power Noise on Multi-Gigabit Serial Links

Effect of Power Noise on Multi-Gigabit Serial Links Effect of Power Noise on Multi-Gigabit Serial Links Ken Willis (kwillis@sigrity.com) Kumar Keshavan (ckumar@sigrity.com) Jack Lin (jackwclin@sigrity.com) Tariq Abou-Jeyab (tariqa@sigrity.com) Sigrity Inc.,

More information

High-Speed Interconnect Technology for Servers

High-Speed Interconnect Technology for Servers High-Speed Interconnect Technology for Servers Hiroyuki Adachi Jun Yamada Yasushi Mizutani We are developing high-speed interconnect technology for servers to meet customers needs for transmitting huge

More information

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

IF-Sampling Digital Beamforming with Bit-Stream Processing. Jaehun Jeong

IF-Sampling Digital Beamforming with Bit-Stream Processing. Jaehun Jeong IF-Sampling Digital Beamforming with Bit-Stream Processing by Jaehun Jeong A dissertation submitted in partial fulfillment of the requirements for the degree of Doctor of Philosophy (Electrical Engineering)

More information

SINGLE-ENDED 16x8 GBPS DATA BUS IN 90NM CMOS

SINGLE-ENDED 16x8 GBPS DATA BUS IN 90NM CMOS SINGLE-ENDED 16x8 GBPS DATA BUS IN 90NM CMOS By SAURABH MANDHANYA A thesis submitted in partial fulfillment of the requirements for the degree of MASTER OF SCIENCE IN ELECTRICAL ENGINEERING WASHINGTON

More information

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Agenda

EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces. Agenda EE290C - Spring 2004 Advanced Topics in Circuit Design High-Speed Electrical Interfaces Lecture 6 Equalizers Borivoje Nikolic February 5, 2004. Agenda Equalization Background Implementation of Equalizers

More information

Correlators for the PdB interferometer : Part 1 : The Widex correlator. Part 2: Development of next generation

Correlators for the PdB interferometer : Part 1 : The Widex correlator. Part 2: Development of next generation Correlators for the PdB interferometer : Part 1 : The Widex correlator Part 2: Development of next generation WideX : 4x2 GHz BW for 8 Antennas Sampling : 4 Gs/s, 2-bit 4-level, 2nd Nyquist window Time

More information

Experimental results on single wavelength 100Gbps PAM4 modulation. Matt Traverso, Cisco Marco Mazzini, Cisco Atul Gupta, Macom Tom Palkert, Macom

Experimental results on single wavelength 100Gbps PAM4 modulation. Matt Traverso, Cisco Marco Mazzini, Cisco Atul Gupta, Macom Tom Palkert, Macom Experimental results on single wavelength 100Gbps PAM4 modulation Matt Traverso, Cisco Marco Mazzini, Cisco Atul Gupta, Macom Tom Palkert, Macom 1 Past Presentations Selection of presentations at ieee

More information

12 Bit 1.2 GS/s 4:1 MUXDAC

12 Bit 1.2 GS/s 4:1 MUXDAC RDA012M4 12 Bit 1.2 GS/s 4:1 MUXDAC Features 12 Bit Resolution 1.2 GS/s Sampling Rate 4:1 or 2:1 Input Multiplexer Differential Analog Output Input code format: Offset Binary Output Swing: 600 mv with

More information

Studies on FIR Filter Pre-Emphasis for High-Speed Backplane Data Transmission

Studies on FIR Filter Pre-Emphasis for High-Speed Backplane Data Transmission Studies on FIR Filter Pre-Emphasis for High-Speed Backplane Data Transmission Miao Li Department of Electronics Carleton University Ottawa, ON. K1S5B6, Canada Tel: 613 525754 Email:mili@doe.carleton.ca

More information

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray Agenda Problems of On-chip Global Signaling Channel Design Considerations

More information

2002 IEEE International Solid-State Circuits Conference 2002 IEEE

2002 IEEE International Solid-State Circuits Conference 2002 IEEE Outline 802.11a Overview Medium Access Control Design Baseband Transmitter Design Baseband Receiver Design Chip Details What is 802.11a? IEEE standard approved in September, 1999 12 20MHz channels at 5.15-5.35

More information

High-speed low-power 2D DCT Accelerator. EECS 6321 Yuxiang Chen, Xinyi Chang, Song Wang Electrical Engineering, Columbia University Prof.

High-speed low-power 2D DCT Accelerator. EECS 6321 Yuxiang Chen, Xinyi Chang, Song Wang Electrical Engineering, Columbia University Prof. High-speed low-power 2D DCT Accelerator EECS 6321 Yuxiang Chen, Xinyi Chang, Song Wang Electrical Engineering, Columbia University Prof. Mingoo Seok Project Goal Project Goal Execute a full VLSI design

More information

Dedication. To Mum and Dad

Dedication. To Mum and Dad Dedication To Mum and Dad Acknowledgment Table of Contents List of Tables List of Figures A B A B 0 1 B A List of Abbreviations Abstract Chapter1 1 Introduction 1.1. Motivation Figure 1. 1 The relative

More information

10Gb/s PMD Using PAM-5 Trellis Coded Modulation

10Gb/s PMD Using PAM-5 Trellis Coded Modulation 10Gb/s PMD Using PAM-5 Trellis Coded Modulation Oscar Agazzi, Nambi Seshadri, Gottfried Ungerboeck Broadcom Corp. 16215 Alton Parkway Irvine, CA 92618 1 Goals Achieve distance objective of 300m over existing

More information

A 2-bit/step SAR ADC structure with one radix-4 DAC

A 2-bit/step SAR ADC structure with one radix-4 DAC A 2-bit/step SAR ADC structure with one radix-4 DAC M. H. M. Larijani and M. B. Ghaznavi-Ghoushchi a) School of Engineering, Shahed University, Tehran, Iran a) ghaznavi@shahed.ac.ir Abstract: In this letter,

More information

SPIRO SOLUTIONS PVT LTD

SPIRO SOLUTIONS PVT LTD VLSI S.NO PROJECT CODE TITLE YEAR ANALOG AMS(TANNER EDA) 01 ITVL01 20-Mb/s GFSK Modulator Based on 3.6-GHz Hybrid PLL With 3-b DCO Nonlinearity Calibration and Independent Delay Mismatch Control 02 ITVL02

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 19: High-Speed Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 3 is on Friday Dec 5 Focus

More information

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California A 4 GSample/s 8-bit ADC in 0.35 µm CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California 1 Outline Background Chip Architecture

More information

High-Performance Electrical Signaling

High-Performance Electrical Signaling High-Performance Electrical Signaling William J. Dally 1, Ming-Ju Edward Lee 1, Fu-Tai An 1, John Poulton 2, and Steve Tell 2 Abstract This paper reviews the technology of high-performance electrical signaling

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.5 20.5 A 2.4GHz CMOS Transceiver and Baseband Processor Chipset for 802.11b Wireless LAN Application George Chien, Weishi Feng, Yungping

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

SINCE the performance of personal computers (PCs) has

SINCE the performance of personal computers (PCs) has 334 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 57, NO. 5, MAY 2010 Multi-Slot Main Memory System for Post DDR3 Jaejun Lee, Sungho Lee, and Sangwook Nam, Member, IEEE Abstract This

More information

A 6 th Order Ladder Switched-Capacitor Bandpass Filter with a center frequency of 10 MHz and a Q of 20

A 6 th Order Ladder Switched-Capacitor Bandpass Filter with a center frequency of 10 MHz and a Q of 20 A 6 th Order Ladder Switched-Capacitor Bandpass Filter with a center frequency of 10 MHz and a Q of 20 Joseph Adut,Chaitanya Krishna Chava, José Silva-Martínez March 27, 2002 Texas A&M University Analog

More information

ni.com The NI PXIe-5644R Vector Signal Transceiver World s First Software-Designed Instrument

ni.com The NI PXIe-5644R Vector Signal Transceiver World s First Software-Designed Instrument The NI PXIe-5644R Vector Signal Transceiver World s First Software-Designed Instrument Agenda Hardware Overview Tenets of a Software-Designed Instrument NI PXIe-5644R Software Example Modifications Available

More information

2015 The MathWorks, Inc. 1

2015 The MathWorks, Inc. 1 2015 The MathWorks, Inc. 1 What s Behind 5G Wireless Communications? 서기환과장 2015 The MathWorks, Inc. 2 Agenda 5G goals and requirements Modeling and simulating key 5G technologies Release 15: Enhanced Mobile

More information

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS

AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS AUTOMATIC IMPLEMENTATION OF FIR FILTERS ON FIELD PROGRAMMABLE GATE ARRAYS Satish Mohanakrishnan and Joseph B. Evans Telecommunications & Information Sciences Laboratory Department of Electrical Engineering

More information

A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver*

A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver* A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver* March 11, 1999 Ramin Farjad-Rad Center for Integrated Systems Stanford University Stanford, CA 94305 *Funding from LSI Logic, SUN Microsystems, and Powell

More information

12 Bit 1.3 GS/s Master-Slave 4:1 MUXDAC. 12 BIT 4:1 MUX 1.3GS/s DAC, DIE Lead HSD Package 12 BIT 4:1 MUX 1.3GS/s DAC, 88 Lead QFP Package

12 Bit 1.3 GS/s Master-Slave 4:1 MUXDAC. 12 BIT 4:1 MUX 1.3GS/s DAC, DIE Lead HSD Package 12 BIT 4:1 MUX 1.3GS/s DAC, 88 Lead QFP Package RDA012M4MS 12 Bit 1.3 GS/s Master-Slave 4:1 MUXDAC Features 12 Bit Resolution 1.3 GS/s Sampling Rate 4:1 Input Multiplexer Master-Slave Operation for Synchronous Operation of Multiple Devices Differential

More information

A PROGRAMMABLE PRE-CURSOR ISI EQUALIZATION CIRCUIT FOR HIGH-SPEED SERIAL LINK OVER HIGHLY LOSSY BACKPLANE CHANNEL

A PROGRAMMABLE PRE-CURSOR ISI EQUALIZATION CIRCUIT FOR HIGH-SPEED SERIAL LINK OVER HIGHLY LOSSY BACKPLANE CHANNEL A PROGRAMMABLE PRE-CUROR II EQUALIZATION CIRCUIT FOR HIGH-PEED ERIAL LINK OVER HIGHLY LOY BACKPLANE CHANNEL Bo Wang, Dianyong Chen, Bangli Liang, Jinguang Jiang 2 and Tad Kwasniewski DOE, Carleton University,

More information

26.8: A 1.9GHz Single-Chip CMOS PHS Cellphone

26.8: A 1.9GHz Single-Chip CMOS PHS Cellphone 26.8: A 1.9GHz Single-Chip CMOS PHS Cellphone William W. Si, Srenik Mehta, Hirad Samavati, Manolis Terrovitis, Michael Mack, KeithOnodera, SteveJen, Susan Luschas, Justin Hwang, SuniMendis, DavidSu, BruceWooley

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 20.2 A Digitally Calibrated 5.15-5.825GHz Transceiver for 802.11a Wireless LANs in 0.18µm CMOS I. Bouras 1, S. Bouras 1, T. Georgantas

More information

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.4, AUGUST, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.4.552 ISSN(Online) 2233-4866 A 1.5 Gbps Transceiver Chipset in 0.13-mm

More information

PLC2 FPGA Days Software Defined Radio

PLC2 FPGA Days Software Defined Radio PLC2 FPGA Days 2011 - Software Defined Radio 17 May 2011 Welcome to this presentation of Software Defined Radio as seen from the FPGA engineer s perspective! As FPGA designers, we find SDR a very exciting

More information

Pulse-Based Ultra-Wideband Transmitters for Digital Communication

Pulse-Based Ultra-Wideband Transmitters for Digital Communication Pulse-Based Ultra-Wideband Transmitters for Digital Communication Ph.D. Thesis Defense David Wentzloff Thesis Committee: Prof. Anantha Chandrakasan (Advisor) Prof. Joel Dawson Prof. Charles Sodini Ultra-Wideband

More information

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4

ISSCC 2006 / SESSION 33 / MOBILE TV / 33.4 33.4 A Dual-Channel Direct-Conversion CMOS Receiver for Mobile Multimedia Broadcasting Vincenzo Peluso, Yang Xu, Peter Gazzerro, Yiwu Tang, Li Liu, Zhenbiao Li, Wei Xiong, Charles Persico Qualcomm, San

More information

3 General Principles of Operation of the S7500 Laser

3 General Principles of Operation of the S7500 Laser Application Note AN-2095 Controlling the S7500 CW Tunable Laser 1 Introduction This document explains the general principles of operation of Finisar s S7500 tunable laser. It provides a high-level description

More information

Effect of Clock Duty-Cycle Error on Two- Channel Interleaved Delta Sigma DACs

Effect of Clock Duty-Cycle Error on Two- Channel Interleaved Delta Sigma DACs Effect of Cloc Duty-Cycle Error on Two- Channel Interleaved Delta Sigma DACs Ameya Bhide, Amin Ojani and Atila Alvandpour Linöping University Post Print N.B.: When citing this wor, cite the original article.

More information

OIF CEI 6G LR OVERVIEW

OIF CEI 6G LR OVERVIEW OIF CEI 6G LR OVERVIEW Graeme Boyd, Yuriy Greshishchev T10 SAS-2 WG meeting, Houston, 25-26 May 2005 www.pmc-sierra.com 1 Outline! Why CEI-6G LR is of Interest to SAS-2?! CEI-6G- LR Specification Methodology!

More information

Available online at ScienceDirect. The 4th International Conference on Electrical Engineering and Informatics (ICEEI 2013)

Available online at  ScienceDirect. The 4th International Conference on Electrical Engineering and Informatics (ICEEI 2013) Available online at www.sciencedirect.com ScienceDirect Procedia Technology 11 ( 2013 ) 680 688 The 4th International Conference on Electrical Engineering and Informatics (ICEEI 2013) Architecture Design

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

IN HIGH-SPEED wireline transceivers, a (DFE) is often

IN HIGH-SPEED wireline transceivers, a (DFE) is often 326 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 6, JUNE 2012 Decision Feedback Equalizer Architectures With Multiple Continuous-Time Infinite Impulse Response Filters Shayan

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

Wideband Sampling by Decimation in Frequency

Wideband Sampling by Decimation in Frequency Wideband Sampling by Decimation in Frequency Martin Snelgrove http://www.kapik.com 192 Spadina Ave. Suite 218 Toronto, Ontario, M5T2C2 Canada Copyright Kapik Integration 2011 WSG: New Architectures for

More information

Low-Power Pipelined ADC Design for Wireless LANs

Low-Power Pipelined ADC Design for Wireless LANs Low-Power Pipelined ADC Design for Wireless LANs J. Arias, D. Bisbal, J. San Pablo, L. Quintanilla, L. Enriquez, J. Vicente, J. Barbolla Dept. de Electricidad y Electrónica, E.T.S.I. de Telecomunicación,

More information

Merging Propagation Physics, Theory and Hardware in Wireless. Ada Poon

Merging Propagation Physics, Theory and Hardware in Wireless. Ada Poon HKUST January 3, 2007 Merging Propagation Physics, Theory and Hardware in Wireless Ada Poon University of Illinois at Urbana-Champaign Outline Multiple-antenna (MIMO) channels Human body wireless channels

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

TSEK38 Radio Frequency Transceiver Design: Project work B

TSEK38 Radio Frequency Transceiver Design: Project work B TSEK38 Project Work: Task specification A 1(15) TSEK38 Radio Frequency Transceiver Design: Project work B Course home page: Course responsible: http://www.isy.liu.se/en/edu/kurs/tsek38/ Ted Johansson (ted.johansson@liu.se)

More information

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits 1 ECEN 720 High-Speed Links: Circuits and Systems Lab6 Link Modeling with ADS Objective To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed

More information

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations

Sno Projects List IEEE. High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations Sno Projects List IEEE 1 High - Throughput Finite Field Multipliers Using Redundant Basis For FPGA And ASIC Implementations 2 A Generalized Algorithm And Reconfigurable Architecture For Efficient And Scalable

More information

On-Chip Signaling Techniques for High-Speed SerDes Transceivers

On-Chip Signaling Techniques for High-Speed SerDes Transceivers The American University in Cairo School of Science and Engineering On-Chip Signaling Techniques for High-Speed SerDes Transceivers A Thesis submitted to The Department of Electronics Engineering In Partial

More information

GaN Power Amplifiers for Next- Generation Wireless Communications

GaN Power Amplifiers for Next- Generation Wireless Communications GaN Power Amplifiers for Next- Generation Wireless Communications Jennifer Kitchen Arizona State University Students: Ruhul Hasin, Mahdi Javid, Soroush Moallemi, Shishir Shukla, Rick Welker Wireless Communications

More information

D2.5. Description of MaMi digital modulation and architectures for efficient MaMi transmission MAMMOET. 36 months FP7/ WP 2

D2.5. Description of MaMi digital modulation and architectures for efficient MaMi transmission MAMMOET. 36 months FP7/ WP 2 This project has received funding from the European Union s Seventh Framework Programme for research, technological development and demonstration under grant agreement no 619086. D2.5 Description of MaMi

More information

Downloaded from edlib.asdf.res.in

Downloaded from edlib.asdf.res.in ASDF India Proceedings of the Intl. Conf. on Innovative trends in Electronics Communication and Applications 2014 242 Design and Implementation of Ultrasonic Transducers Using HV Class-F Power Amplifier

More information

A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION

A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION A HIGH PERFORMANCE HARDWARE ARCHITECTURE FOR HALF-PIXEL ACCURATE H.264 MOTION ESTIMATION Sinan Yalcin and Ilker Hamzaoglu Faculty of Engineering and Natural Sciences, Sabanci University, 34956, Tuzla,

More information

20Gb/s 0.13um CMOS Serial Link

20Gb/s 0.13um CMOS Serial Link 20Gb/s 0.13um CMOS Serial Link Patrick Chiang (pchiang@stanford.edu) Bill Dally (billd@csl.stanford.edu) Ming-Ju Edward Lee (ed@velio.com) Computer Systems Laboratory Stanford University Stanford University

More information

Introducing 28-nm Stratix V FPGAs: Built for Bandwidth. Dan Mansur Sergey Shumarayev August 2010

Introducing 28-nm Stratix V FPGAs: Built for Bandwidth. Dan Mansur Sergey Shumarayev August 2010 Introducing 28-nm Stratix V FPGAs: Built for Bandwidth Dan Mansur Sergey Shumarayev August 2010 Market Dynamics for High-End Systems Communications Broadcast Mobile Internet driving bandwidth at 50% annualized

More information

Kyusun Choi Assistant Professor Department of Computer Science and Engineering The Pennsylvania State University. Image source:

Kyusun Choi Assistant Professor Department of Computer Science and Engineering The Pennsylvania State University. Image source: Kyusun Choi Assistant Professor Department of Computer Science and Engineering The Pennsylvania State University Image source: http://www.target.com Radio Technology Military radio Image source: http://www.deutschdao.com/

More information

Bits to Antenna and Back

Bits to Antenna and Back The World Leader in High Performance Signal Processing Solutions Bits to Antenna and Back June 2012 Larry Hawkins ADL5324 400 4000 MHz Broadband ½ W RF Driver Amplifier KEY SPECIFICATIONS (5 V) Frequency

More information

40GBd QSFP+ LR4 Optical Transceiver

40GBd QSFP+ LR4 Optical Transceiver Preliminary DATA SHEET CFORTH-QSFP-40G-LR4 40GBd QSFP+ LR4 Optical Transceiver CFORTH-QSFP-40G-LR4 Overview CFORTH-QSFP-40G-LR4 QSFP+ LR4 optical transceivers are based on Ethernet IEEE P802.3ba standard

More information