A Pin and Power Efficient Low Latency 8-12Gb/s/wire 8b8w- Coded SerDes Link for High Loss Channels in 40nm Technology

Size: px
Start display at page:

Download "A Pin and Power Efficient Low Latency 8-12Gb/s/wire 8b8w- Coded SerDes Link for High Loss Channels in 40nm Technology"

Transcription

1 A Pin and Power Efficient Low Latency 8-12Gb/s/wire 8b8w- Coded SerDes Link for High Loss Channels in 40nm Technology Anant Singh 1, Dario Carnelli 1, Altay Falay 1, Klaas Hofstra 1, Fabio Licciardello 1, Kia Salimi 1, Hugo Santos 1, Amin Shokrollahi 1, Roger Ulrich 1, Christoph Walter 1, John Fox 2, Peter Hunt 2, John Keay 2, Richard Simpson 2, Andy Stewart 2, Giuseppe Surace 2, Harm Cronie 3 1 Kandou Bus, Lausanne, Switzerland, 2 Kandou Bus, Northampton, United Kingdom, 3 Lausanne, Switzerland

2 Outline Introduction and motivation Macro architecture TX RX System Implementation Results Conclusion

3 Motivation Demand for semiconductor component IO data bandwidth is increasing, pin count is not: need to transmit more bits per pin per second Many industries expect doubling the throughput at equal (or lower) power at every generation Traditional methods are running out of steam.

4 Throughput Increase Change the channel (expensive) Change the signaling (cost depends) One direction: multi-level (4-PAM, 8-PAM, etc)

5 Throughput Increase Change the channel (expensive) Change the signaling (cost depends) One direction: multi-level (4-PAM, 8-PAM, etc) Another direction: Pool more than two wires together, and disperse information among them Generalization of differential signaling

6 Chord Signaling We have developed a whole new theory of signaling based on information dispersal among multiple wires to increase throughput, reduce power, and combat noise Theory has similarities to MIMO in wireless systems, but is unique to chip-tochip communication

7 This Talk Report on implementation of one of the chord signaling methods, called 8b8w 8 bits of information are dispersed among 8 wires Pin-efficiency of single-ended signaling, but much better signal integrity through differential type receivers Only one instantiation of a general technique.

8 8b8w Coding At every UI two of the eight wires are driven high (+1), two are driven low (-1), and four are left at common mode (0). Information is encoded in the positions of the high/low/quiet wires

9 Conceptual View Transmission lines Digital encoder Ensemble driver Ensemble receiver 0,5 3,4 Digital decoder Bits Codeword Arrows show direction of current only. Link is unidirectional. Information to re-create codeword Bits

10 Codebook Total number of distinct permutations of (+1,+1,0,0,0,0,-1,-1) is 8! 2! x 2! x 4! = 420 Of these 256 are chosen judiciously to minimize encoding/decoding complexity 8 bits are transmitted per UI.

11 Quiescent Communication Codeword is uniquely determined by the positions of the 0 s and +1 s The 0 s don t use active power But their positions count for 6 of the 8 bits 6 of the 8 bits are communicated via quiescence, without using active line power. Line power is that of two differential pairs, throughput is 4 times as large.

12 8b8w-Coded SerDes Link Transmits 8-bits over an 8-wire interface Pin efficiency is 1 Differential legacy mode transmits 4-bits on the same 8-wire interface (as 4 differential pairs) Pin efficiency is 0.5

13 Encoder Implements the codebook efficiently

14 Encoder Implements the codebook efficiently No table look-up

15 8b8w Codebook Implements a codebook efficiently No table look-up

16 Code Properties If (c 1,.., c 8 ) is a codeword produced by encoder, then current (voltage) of strength c 1 is applied to the first wire, current (voltage) c 2 is applied to the second wire, etc c c 8 = 0 Zero common mode and SSO noise Receiver uses reference-less comparator network to determine codeword

17 Outline Introduction Macro Architecture TX RX System Implementation Results Conclusion

18 Macro Architecture Components: TX Pattern generators, encoder, serializer Output Driver, FIR RX CTLE, multi-phase detector & sampled system, decoder, errorcheckers Eye scope Clock generation Chip control Differential legacy mode is included for comparison and testing Dig. pads Decoder Output Driver Mux Encoder SPI bridge VTC Track & hold CTLE TX clock generation RX clock generation 3mm x 2mm

19 Transmitter Digital encoder 8:1 serializer From datagenerator 64b Digital E N C O D E R S 64N 64P 8:2 M U X 2N,2P x8 Analog Tx 2:1 & FIR M U X Output Driver N,P x8 R t 2GHz clock 8GHz clock Vcm 2GHz clock Clock regeneration & divide by 4 8GHz clock

20 Output Driver Current mode 2-tap FIR Replica bias ckt w/ swing control Vbp dp7 dn7 VDDA ternary signals wire7 R t R t Vbn Vcm (Tx) Vcm (Rx) dp6 wire6 dn6 R t Vcm (Tx) R t Vcm (Rx)

21 Macro Architecture Components: TX Pattern generators, encoder, serializer Output Driver, FIR RX CTLE, multi-phase detector & sampled system, decoder, errorcheckers Eye scope Clock generation Chip control Differential legacy mode is included for comparison and testing Dig. pads Decoder Output Driver Mux Encoder SPI bridge VTC Track & hold CTLE TX clock generation RX clock generation 3mm x 2mm

22 Receiver Analog front end rank-orders the wires based on detected voltage levels Digital logic detects positions of two maxima ( +1 s) and two minima ( -1 s) in order to decode the bits Information is encoded in the positions, not the actual values on the wires Our receiver actually completely rank orders the wire values

23 Receiver Top Level 16-ph SDC 4-ph FE sampler VTC arbiters 8 GHz ext. CLK Multi-phase generator SDC clock gen,1ghz

24 Receiver Top Level Eye-scope 2 nd T&H 16-ph VTC 16-ph SDC 16-phase time interleaved system ½ rate external clock used as input Analog FE: CTLE, 4-ph T&H 4-ph FE sampler ¼ rate clk per-wire PI VTC arbiters Digital decoder Per-wire phase interpolators (PI) produce ¼ rate sampling clocks external ½ rate clk input 8 GHz ext. CLK Multi-phase generator SDC 1 clock gen,1ghz 16 rate clk

25 Analog Front End Designed to pass high frequency common mode signal in order to allow realignment (de-skew) without distortion

26 Analog Front End Designed to pass high frequency common mode signal in order to allow realignment (de-skew) without distortion Suppresses low frequency common mode noise

27 Analog Front End

28 Analog Front End Input is DC coupled Incoming signals

29 Analog Front End VCM Input is DC coupled Level shifter sets the appropriate common mode for the input stage Incoming signals

30 Analog Front End CTLE CTLE Hybrid between a generalized differential pair and a commonsource amplifier

31 Analog Front End CTLE Shared node CTLE Hybrid between a generalized differential pair and a commonsource amplifier The shared node is stabilized at high frequencies by capacitors effectively turning the structure into a single-ended commonsource amplifier with source degeneration

32 Signal Path T&H CTLE is followed by track and hold circuits (T&H)

33 Signal Path per-wire sampling clks T&H CTLE is followed by track and hold circuits (T&H) Sampling clocks can be adjusted per-wire for deskewing the incoming signals up to 1UI

34 Signal Path T&H CTLE is followed by track and hold circuits (T&H) Sampling clocks can be adjusted per-wire for deskewing the incoming signals up to 1UI T&H operates at 1/4 th rate (4-phase system)

35 Signal Path buffer 2 nd T&H Buffer drives aligned signals to 2 nd T&H circuit (operates at 1/16 th rate)

36 Signal Path Buffer drives aligned signals to 2 nd T&H circuit (operates at 1/16 th rate) VTC produces an edge at time proportional to sampled voltage

37 Signal Path arbiters Buffer drives aligned signals to 2 nd T&H circuit (operates at 1/16 th rate) VTC produces an edge at time proportional to sampled voltage Arbiter network compares the arrival times of edges to rank order the wires

38 VTC cap Converts the sampled voltage to a ramp by discharging a precharged capacitor sampled signal

39 VTC common node Converts the sampled voltage to a ramp by discharging a precharged capacitor Has controlled current source with common tail device across the 8 wires, which allows for different gain settings

40 VTC offset correction Converts the sampled voltage to a ramp by discharging a precharged capacitor Has controlled current source with common tail device across the 8 wires, which allows for different gain settings Includes offset correction

41 VTC Finally a threshold detector converts ramp to an edge

42 VTC to arbiter network Finally a threshold detector converts ramp to an edge And drives to arbiter network that compares arrival times of the 8 edges

43 Receiver Control Loops (1) Information is used from VTC & arbiter network to sort the wires based on voltage (max to min). Error count is logged software

44 Receiver Control Loops (1) Information is used from VTC & arbiter network to sort the wires based on voltage (max to min). Error count is logged (2) Code aware algorithms run in software that use sorting info for timing optimization SPI

45 Receiver Control Loops (3) Set optimal sampling point, per-wire deskew, EQ and gain settings, offset comp (1) Information is used from VTC & arbiter network to sort the wires based on voltage (max to min). Error count is logged SPI (2) Code aware algorithms run in software that use sorting info for timing optimization SPI

46 Receiver Control Loops (3) Set optimal sampling point, per-wire deskew, EQ and gain settings, offset comp (4) DLL aligns the clks (1) Information is used from VTC & arbiter network to sort the wires based on voltage (max to min). Error count is logged SPI (2) Code aware algorithms run in software that use sorting info for timing optimization SPI

47 Receiver Control Loops Control loops run continuously and adapt to incoming signal (3) Set optimal sampling point, per-wire deskew, EQ and gain settings, offset comp (4) DLL aligns the clks (1) Information is used from VTC & arbiter network to sort the wires based on voltage (max to min). Error count is logged SPI (2) Code aware algorithms run in software that use sorting info for timing optimization SPI

48 Outline Introduction Macro Architecture TX RX System Implementation Results Conclusion

49 System Implementation Chip board (TX) Channel board CLK board Chip board (RX) Industrial Demo Session on Monday, Feb 10 th, 2014 DUT Chip board with transceiver mounted as chip-on-board, I/O fan-out to 2x8 SMA connectors, SPI test interface, DAC-controlled power supplies Channel: Channel board with 3 sets of traces, for a total channel length of 369mm/556mm/ 792mm (Rogers RO4350B/ RO4450F), IL 12-17dB Clock: Custom clock PCB generating 4-8GHz differential clocks

50 System Implementation Test system and channel MEDIUM SHORT Channel losses Channel board IL is in the range of 12-17dB at 6GHz Additional 5dB loss due to chip board traces, connectors and cables Wire bond inductance is in the range of 1-1.5nH

51 System Implementation Data generators and test patterns 8b8w data generation and encoding Differential legacy data generation for 4 lanes Modes: 8b8w Differential (legacy) Patterns PRBS9 PRBS31 Custom

52 Outline Introduction Macro Architecture TX RX System Implementation Results Conclusion

53 8b8w vs Differential Differential signaling 8b8w signaling Reference-less receiver YES YES Balanced signals YES YES Wires required for 8 bits 16 8 Line power for 8 bit, equal peak-to-peak Line power for 8 bit, equal noise margin -1 +½ -½

54 Results Differential mode vs. 8b8w mode GBaud Differential Mode Gb/s/ wire Gb/s (8-wires) 8b8w Mode Gb/s/ wire Gb/s (8-wires) Legacy differentialpair mode needs to run at 16Gbd vs. 8b8w mode at 8Gbd in order to deliver the same effective throughput (64Gb/s) Differential 8b8w Total,mW pj/bit Measured power consumption is about 40% lower at same effective throughput

55 Results Differential mode vs. 8b8w mode: Measured bathtub plots at equivalent throughput (64Gb/s) Error rate Error rate Differential 16GBd UI = 62.5ps Opening: 24ps time, ps 8b8w 8GBd UI = 125ps Opening: 50ps time, ps

56 Results Differential mode vs. 8b8w mode: Measured bathtub plots at equivalent throughput (64Gb/s) Error rate Error rate No errors observed during sweep Differential 16GBd UI = 62.5ps Opening: 24ps time, ps 8b8w 8GBd UI = 125ps Opening: 50ps time, ps

57 Results Measured bathtub plot at 12GBd in 8b8w mode over medium loss channel (IL=15dB) Error rate Accumulated error rate time, ps Bit error counting tests run over weekend periods show an accumulated BER better than 8e -15

58 Results Extensive measurements have been made under various conditions: Power supply noise Common mode noise Alien cross talk Channel skew No significant degradation in BER is observed

59 Chip Micrograph and Features Technology 40nm CMOS GP, VDD=0.9V, 10M, DGO Package Wire bond ( mm length), COB Channels 78cm, 55cm & 36cm Rogers (RO4450F/ RO4350B), four 2.4mm connectors, 12 cables, loss up to 15dB IO Cdie 600fF, including ESD Pads Pitch 70µm, bond wire inductance = 1.5nH Data Rate 8-12Gb/s/wire Power and Energy Efficiency 412mW, 4.29 pj/bit at 12Gb/s/wire BER < 8x10-15 at 12 Gb/s/wire 64b-encoder latency, area, power 0.5ns, 2000µm2, 3mW 64b-decoder latency, area, power 0.5ns, 1330µm2, 4mW Differential legacy mode Yes Testability Pattern generators (PRBS31, PRBS9), onchip Eye Scope, error counters, SPI, analog test bus, test software Per wire RX de-skew 1UI

60 Conclusion Successfully designed and tested a 8b8w-Coded SerDes link in 40nm Demonstrated BER performance < up to 12Gb/s/wire Demonstrated receiver circuits that can de-skew up to 1UI and are robust under common-mode and power supply noise conditions Demonstrated approximately 2x advantage in power and eye-opening over legacy differential links at equivalent throughput over same number of wires

61 References [1] D. Slepian, Permutation Modulation Codes, Proceedings of the IEEE, vol. 53, No. 3, , [2] J. Lee, M. Chen, and H. Wang, Design and Comparison of Three 20-Gb/s Backplane Transceivers for Duobinary, PAM4, and NRZ Data, JSSC, Vol. 43, No.9, Sep [3] A. Amirkhany, et al, 4.1pJ/b 16Gb/s Coded Differential Bidirectional Parallel Electrical Link, ISSCC Dig. Tech. Papers, pp , Feb [4] H. Cronie, A. Shokrollahi, and A. Tajalli, "Methods and Systems for Noise Resilient and Low Power Communications with Sparse Signaling Codes," US Patent Application Number US2012/ A1. [5] S. Zogopoulos and W. Namgoong High-Speed Single-Ended Parallel Link Based on Three-Level Differential Encoding, JSSC, Vol. 44, No.2, Feb

62 Thank you

!!!!!!! KANDOU S INTERFACES! FOR HIGH SPEED SERIAL LINKS! WHITE PAPER! VERSION 1.9! THURSDAY, MAY 17, 2013!!

!!!!!!! KANDOU S INTERFACES! FOR HIGH SPEED SERIAL LINKS! WHITE PAPER! VERSION 1.9! THURSDAY, MAY 17, 2013!! KANDOU S INTERFACES FOR HIGH SPEED SERIAL LINKS WHITE PAPER VERSION 1.9 THURSDAY, MAY 17, 2013 " Summary has developed an important new approach to serial link design that increases the bit rate for a

More information

Ultra-high-speed Interconnect Technology for Processor Communication

Ultra-high-speed Interconnect Technology for Processor Communication Ultra-high-speed Interconnect Technology for Processor Communication Yoshiyasu Doi Samir Parikh Yuki Ogata Yoichi Koyanagi In order to improve the performance of storage systems and servers that make up

More information

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer

A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer Po-Wei Chiu, Somnath Kundu, Qianying Tang, and Chris H. Kim University of Minnesota, Minneapolis,

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

Brian Holden Kandou Bus, S.A. IEEE GE Study Group July 16, 2013 Geneva, Switzerland

Brian Holden Kandou Bus, S.A. IEEE GE Study Group July 16, 2013 Geneva, Switzerland An exploration of the technical feasibility of the major technology options for 400GE backplanes Brian Holden Kandou Bus, S.A. brian@kandou.com IEEE 802.3 400GE Study Group July 16, 2013 Geneva, Switzerland

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization Sung-Geun Kim, Tongsung Kim, Dae-Hyun Kwon, and Woo-Young Choi Department of Electrical and Electronic Engineering,

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

A 24Gb/s Software Programmable Multi-Channel Transmitter

A 24Gb/s Software Programmable Multi-Channel Transmitter A 24Gb/s Software Programmable Multi-Channel Transmitter A. Amirkhany 1, A. Abbasfar 2, J. Savoj 2, M. Jeeradit 2, B. Garlepp 2, V. Stojanovic 2,3, M. Horowitz 1,2 1 Stanford University 2 Rambus Inc 3

More information

ISSCC 2006 / SESSION 4 / GIGABIT TRANSCEIVERS / 4.1

ISSCC 2006 / SESSION 4 / GIGABIT TRANSCEIVERS / 4.1 SSCC 006 / SESSON 4 / GGABT TRANSCEVERS / 4. 4. A 0Gb/s 5-Tap-/4-Tap-FFE Transceiver in 90nm CMOS M. Meghelli, S. Rylov, J. Bulzacchelli, W. Rhee, A. Rylyakov, H. Ainspan, B. Parker, M. Beakes, A. Chung,

More information

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2 13.2 An MLSE Receiver for Electronic-Dispersion Compensation of OC-192 Fiber Links Hyeon-min Bae 1, Jonathan Ashbrook 1, Jinki Park 1, Naresh Shanbhag 2, Andrew Singer 2, Sanjiv Chopra 1 1 Intersymbol

More information

SV2C 28 Gbps, 8 Lane SerDes Tester

SV2C 28 Gbps, 8 Lane SerDes Tester SV2C 28 Gbps, 8 Lane SerDes Tester Data Sheet SV2C Personalized SerDes Tester Data Sheet Revision: 1.0 2015-03-19 Revision Revision History Date 1.0 Document release. March 19, 2015 The information in

More information

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3

ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 ISSCC 2003 / SESSION 4 / CLOCK RECOVERY AND BACKPLANE TRANSCEIVERS / PAPER 4.3 4.3 A Second-Order Semi-Digital Clock Recovery Circuit Based on Injection Locking M.-J. Edward Lee 1, William J. Dally 1,2,

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 http://dx.doi.org/10.5573/jsts.2012.12.4.405 An 8-Gb/s Inductorless Adaptive Passive Equalizer in 0.18- µm CMOS Technology

More information

BER-optimal ADC for Serial Links

BER-optimal ADC for Serial Links BER-optimal ADC for Serial Links Speaker Name: Yingyan Lin Co-authors: Min-Sun Keel, Adam Faust, Aolin Xu, Naresh R. Shanbhag, Elyse Rosenbaum, and Andrew Singer Advisor s name: Naresh R. Shanbhag Affiliation:

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 207 Lecture 8: RX FIR, CTLE, DFE, & Adaptive Eq. Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 4 Report and Prelab

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 19: High-Speed Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 3 is on Friday Dec 5 Focus

More information

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection

A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection A 0.2-to-1.45GHz Subsampling Fractional-N All-Digital MDLL with Zero-Offset Aperture PD-Based Spur Cancellation and In-Situ Timing Mismatch Detection Somnath Kundu 1, Bongjin Kim 1,2, Chris H. Kim 1 1

More information

OIF CEI 6G LR OVERVIEW

OIF CEI 6G LR OVERVIEW OIF CEI 6G LR OVERVIEW Graeme Boyd, Yuriy Greshishchev T10 SAS-2 WG meeting, Houston, 25-26 May 2005 www.pmc-sierra.com 1 Outline! Why CEI-6G LR is of Interest to SAS-2?! CEI-6G- LR Specification Methodology!

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 16: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project descriptions are posted on the website Preliminary

More information

Variable Delay of Multi-Gigahertz Digital Signals for Deskew and Jitter-Injection Test Applications

Variable Delay of Multi-Gigahertz Digital Signals for Deskew and Jitter-Injection Test Applications Variable Delay of Multi-Gigahertz Digital Signals for Deskew and Jitter-Injection Test Applications D.C. Keezer 1, D. Minier, P. Ducharme 1- Georgia Institute of Technology, Atlanta, Georgia USA IBM, Bromont,

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 0 Lecture 8: RX FIR, CTLE, & DFE Equalization Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam is

More information

A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver*

A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver* A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver* March 11, 1999 Ramin Farjad-Rad Center for Integrated Systems Stanford University Stanford, CA 94305 *Funding from LSI Logic, SUN Microsystems, and Powell

More information

100 Gb/s: The High Speed Connectivity Race is On

100 Gb/s: The High Speed Connectivity Race is On 100 Gb/s: The High Speed Connectivity Race is On Cathy Liu SerDes Architect, LSI Corporation Harold Gomard SerDes Product Manager, LSI Corporation October 6, 2010 Agenda 100 Gb/s Ethernet evolution SoC

More information

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation

A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation WA 17.6: A Variable-Frequency Parallel I/O Interface with Adaptive Power Supply Regulation Gu-Yeon Wei, Jaeha Kim, Dean Liu, Stefanos Sidiropoulos 1, Mark Horowitz 1 Computer Systems Laboratory, Stanford

More information

High-Speed Interconnect Technology for Servers

High-Speed Interconnect Technology for Servers High-Speed Interconnect Technology for Servers Hiroyuki Adachi Jun Yamada Yasushi Mizutani We are developing high-speed interconnect technology for servers to meet customers needs for transmitting huge

More information

A Complete 64Gb/s/lane Active Electrical Repeater. Yue Lu, Jaeduk Han, Nicholas Sutardja Prof. Elad Alon January 23, 2014

A Complete 64Gb/s/lane Active Electrical Repeater. Yue Lu, Jaeduk Han, Nicholas Sutardja Prof. Elad Alon January 23, 2014 A Complete 64Gb/s/lane Active Electrical Repeater Yue Lu, Jaeduk Han, Nicholas Sutardja Prof. Elad Alon January 23, 2014 The Electrical Signaling Challenge Required I/O speed rising dramatically, but power

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN72: High-Speed Links Circuits and Systems Spring 217 Lecture 4: Channel Pulse Model & Modulation Schemes Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements & Agenda Lab 1 Report

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 12: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report #2 due Apr. 20 Expand

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 10.8 10Gb/s Limiting Amplifier and Laser/Modulator Driver in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi Electrical Engineering

More information

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN 5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE 802.11a/b/g WLAN Manolis Terrovitis, Michael Mack, Kalwant Singh, and Masoud Zargari 1 Atheros Communications, Sunnyvale, California 1 Atheros

More information

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.4, AUGUST, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.4.552 ISSN(Online) 2233-4866 A 1.5 Gbps Transceiver Chipset in 0.13-mm

More information

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS

10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS 10.1: A 4 GSample/s 8b ADC in 0.35-um CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu*, Andy Burstein**, Mehrdad Heshami*** Agilent Technologies, Palo Alto, CA *Agilent Technologies, Colorado Springs,

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

Another way to implement a folding ADC

Another way to implement a folding ADC Another way to implement a folding ADC J. Van Valburg and R. van de Plassche, An 8-b 650 MHz Folding ADC, IEEE JSSC, vol 27, #12, pp. 1662-6, Dec 1992 Coupled Differential Pair J. Van Valburg and R. van

More information

2120 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 9, SEPTEMBER /$ IEEE

2120 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 9, SEPTEMBER /$ IEEE 2120 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 43, NO. 9, SEPTEMBER 2008 Design and Comparison of Three 20-Gb/s Backplane Transceivers for Duobinary, PAM4, and NRZ Data Jri Lee, Member, IEEE, Ming-Shuan

More information

A pJ/bit, 4.8-8Gb/s I/O Transceiver in 65nm-CMOS. Abstract

A pJ/bit, 4.8-8Gb/s I/O Transceiver in 65nm-CMOS. Abstract A 0.47-0.66pJ/bit, 4.8-8Gb/s I/O Transceiver in 65nm-CMOS Young-Hoon Song, student member, IEEE, Rui Bai, student member, IEEE, Kangmin Hu, Member, IEEE, Hae-Woong Yang, student member, IEEE, Patrick Yin

More information

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Assistant Professor, E Mail: manoj.jvwu@gmail.com Department of Electronics and Communication Engineering Baldev Ram Mirdha Institute

More information

Adaptive Cable Equalizer for IEEE 1394b

Adaptive Cable Equalizer for IEEE 1394b EQCO400T Features Adaptive Cable Equalizer for IEEE 1394b Functional Description Multi-Rate Adaptive Equalization Supports IEEE 1394b - S400, S200 and S100 data rates Seamless connection with compliant

More information

The Practical Limitations of S Parameter Measurements and the Impact on Time- Domain Simulations of High Speed Interconnects

The Practical Limitations of S Parameter Measurements and the Impact on Time- Domain Simulations of High Speed Interconnects The Practical Limitations of S Parameter Measurements and the Impact on Time- Domain Simulations of High Speed Interconnects Dennis Poulin Anritsu Company Slide 1 Outline PSU Signal Integrity Symposium

More information

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California A 4 GSample/s 8-bit ADC in 0.35 µm CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California 1 Outline Background Chip Architecture

More information

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray

HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW. Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray HIGH-SPEED LOW-POWER ON-CHIP GLOBAL SIGNALING DESIGN OVERVIEW Xi Chen, John Wilson, John Poulton, Rizwan Bashirullah, Tom Gray Agenda Problems of On-chip Global Signaling Channel Design Considerations

More information

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab.

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab. High-Speed Circuits and Systems Laboratory B.M.Yu 1 Content 1. Introduction 2. Pre-emphasis 1. Amplitude pre-emphasis 2. Phase pre-emphasis 3. Circuit implantation 4. Result 5. Conclusion 2 Introduction

More information

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique

A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique 1 A Low-Offset Latched Comparator Using Zero-Static Power Dynamic Offset Cancellation Technique Masaya Miyahara and Akira Matsuzawa Tokyo Institute of Technology, Japan 2 Outline Motivation Design Concept

More information

Low Power Digital Receivers for Multi- Gb/s Wireline/Optical Communication

Low Power Digital Receivers for Multi- Gb/s Wireline/Optical Communication Low Power Digital Receivers for Multi- Gb/s Wireline/Optical Communication by A K M Delwar Hossain A thesis submitted in partial fulfillment of the requirements for the degree of Master of Science in Integrated

More information

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems M. Meghelli 1, A. Rylyakov 1, S. J. Zier 2, M. Sorna 2, D. Friedman 1 1 IBM T. J. Watson Research Center 2 IBM

More information

SERDES for 100Gbps. May 24, 2017 Bart Zeydel, Francesco Caggioni, Tom Palkert

SERDES for 100Gbps. May 24, 2017 Bart Zeydel, Francesco Caggioni, Tom Palkert SERDES for 100Gbps May 24, 2017 Bart Zeydel, Francesco Caggioni, Tom Palkert 1 Outline > Narva 16nm FinFET CMOS transceiver for demonstrating 100GE PAM-4 links 100GE single λ link measurements SERDES interface

More information

SPT Bit, 250 MSPS A/D Converter with Demuxed Outputs

SPT Bit, 250 MSPS A/D Converter with Demuxed Outputs 8-Bit, 250 MSPS A/D Converter with Demuxed Outputs Features TTL/CMOS/PECL input logic compatible High conversion rate: 250 MSPS Single +5V power supply Very low power dissipation: 425mW 350 MHz full power

More information

56+ Gb/s Serial Transmission using Duobinary Signaling

56+ Gb/s Serial Transmission using Duobinary Signaling 56+ Gb/s Serial Transmission using Duobinary Signaling Jan De Geest Senior Staff R&D Signal Integrity Engineer, FCI Timothy De Keulenaer Doctoral Researcher, Ghent University, INTEC-IMEC Introduction Motivation

More information

PROLABS JD121B-C. 10 Gigabit 1550nm SingleMode XFP Optical Transceiver, 40km Reach.

PROLABS JD121B-C. 10 Gigabit 1550nm SingleMode XFP Optical Transceiver, 40km Reach. PROLABS JD121B-C 10 Gigabit 1550nm SingleMode XFP Optical Transceiver, 40km Reach. JD121B-C Overview PROLABS s JD121B-C 10 GBd XFP optical transceivers are designed for the IEEE 802.3ae 10GBASE-ER, 10GBASE-

More information

Pulse-Based Ultra-Wideband Transmitters for Digital Communication

Pulse-Based Ultra-Wideband Transmitters for Digital Communication Pulse-Based Ultra-Wideband Transmitters for Digital Communication Ph.D. Thesis Defense David Wentzloff Thesis Committee: Prof. Anantha Chandrakasan (Advisor) Prof. Joel Dawson Prof. Charles Sodini Ultra-Wideband

More information

SHF BERT & DAC for NRZ, PAM4 and Arbitrary Waveform Generation

SHF BERT & DAC for NRZ, PAM4 and Arbitrary Waveform Generation SHF BERT & DAC for NRZ, PAM4 and Arbitrary Waveform Generation Content SHF s one for all System 2 (a) 64 or 120 Gbps binary NRZ BERT 2 (b) 60 GSymbols/s AWG 3 (c) 60 GBaud PAM4 Generator and Analyzer (PAM4-BERT)

More information

A Capacitive Coupling Interface with High Sensitivity for Wireless Wafer Testing

A Capacitive Coupling Interface with High Sensitivity for Wireless Wafer Testing A Capacitive Coupling Interface with High Sensitivity for Wireless Wafer Testing Gil-Su Kim, Makoto Takamiya, and Takayasu Sakurai The Institute of Industrial Science The University of Tokyo Tokyo, Japan

More information

CDR in Mercury Devices

CDR in Mercury Devices CDR in Mercury Devices February 2001, ver. 1.0 Application Note 130 Introduction Preliminary Information High-speed serial data transmission allows designers to transmit highbandwidth data using differential,

More information

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

An Inductive-coupling Link with a Complementary Switching Transmitter and an Integrating Receiver

An Inductive-coupling Link with a Complementary Switching Transmitter and an Integrating Receiver JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.2, APRIL, 2014 http://dx.doi.org/10.5573/jsts.2014.14.2.227 An Inductive-coupling Link with a Complementary Switching Transmitter and an Integrating

More information

A 4 Channel Waveform Sampling ASIC in 130 nm CMOS

A 4 Channel Waveform Sampling ASIC in 130 nm CMOS A 4 Channel Waveform Sampling ASIC in 130 nm CMOS E. Oberla, H. Grabas, J.F. Genat, H. Frisch Enrico Fermi Institute, University of Chicago K. Nishimura, G. Varner University of Hawai I Large Area Picosecond

More information

SHF BERT, DAC & Transmitter for Arbitrary Waveform Generation & Optical Transmission

SHF BERT, DAC & Transmitter for Arbitrary Waveform Generation & Optical Transmission SHF BERT, DAC & Transmitter for Arbitrary Waveform Generation & Optical Transmission SHF reserves the right to change specifications and design without notice SHF BERT V017 Jan., 017 Page 1/8 All new BPG

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 20.2 A Digitally Calibrated 5.15-5.825GHz Transceiver for 802.11a Wireless LANs in 0.18µm CMOS I. Bouras 1, S. Bouras 1, T. Georgantas

More information

32Gbaud PAM4 True BER Measurement Solution

32Gbaud PAM4 True BER Measurement Solution Product Introduction 32Gbaud PAM4 True BER Measurement Solution Signal Quality Analyzer-R MP1900A Series 32Gbaud Power PAM4 Converter G0375A 32Gbaud PAM4 Decoder with CTLE G0376A MP1900A Series PAM4 Measurement

More information

A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS

A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS UT Mixed-Signal/RF Integrated Circuits Seminar Series A 25MS/s 14b 200mW Σ Modulator in 0.18µm CMOS Pio Balmelli April 19 th, Austin TX 2 Outline VDSL specifications Σ A/D converter features Broadband

More information

Low-Power Pipelined ADC Design for Wireless LANs

Low-Power Pipelined ADC Design for Wireless LANs Low-Power Pipelined ADC Design for Wireless LANs J. Arias, D. Bisbal, J. San Pablo, L. Quintanilla, L. Enriquez, J. Vicente, J. Barbolla Dept. de Electricidad y Electrónica, E.T.S.I. de Telecomunicación,

More information

A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link

A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link 1 A 0.18µm CMOS 3.125-Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link Ki-Hyuk Lee, Jae-Wook Lee nonmembers and Woo-Young Choi regular member

More information

10 Gb/s Radiation-Hard VCSEL Array Driver

10 Gb/s Radiation-Hard VCSEL Array Driver 10 Gb/s Radiation-Hard VCSEL Array Driver K.K. Gan 1, H.P. Kagan, R.D. Kass, J.R. Moore, D.S. Smith Department of Physics The Ohio State University Columbus, OH 43210, USA E-mail: gan@mps.ohio-state.edu

More information

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits

To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed I/O link circuits 1 ECEN 720 High-Speed Links: Circuits and Systems Lab6 Link Modeling with ADS Objective To learn statistical bit-error-rate (BER) simulation, BER link noise budgeting and using ADS to model high speed

More information

ECEN 620: Network Theory Broadband Circuit Design Fall 2012

ECEN 620: Network Theory Broadband Circuit Design Fall 2012 ECEN 620: Network Theory Broadband Circuit Design Fall 2012 Lecture 23: High-Speed I/O Overview Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 3 is postponed to Dec. 11

More information

A 30-GS/sec Track and Hold Amplifier in 0.13-µm CMOS Technology Shahriar Shahramian Sorin P. Voinigescu Anthony Chan Carusone

A 30-GS/sec Track and Hold Amplifier in 0.13-µm CMOS Technology Shahriar Shahramian Sorin P. Voinigescu Anthony Chan Carusone A 30-GS/sec Track and Hold Amplifier in 0.13-µm CMOS Technology Shahriar Shahramian Sorin P. Voinigescu Anthony Chan Carusone Department of Electrical & Computer Eng. University of Toronto Canada Introduction

More information

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.14, NO.3, JUNE, 2014 http://dx.doi.org/10.5573/jsts.2014.14.3.331 A Low-Jitter Phase-Locked Loop Based on a Charge Pump Using a Current-Bypass Technique

More information

XFP-10G-Z-OC192-LR2-C

XFP-10G-Z-OC192-LR2-C PROLABS XFP-10G-Z-OC192-LR2-C 10 Gigabit 1550nm Single Mode XFP Optical Transceiver XFP-10G-Z-OC192-LR2-C Overview PROLABS s XFP-10G-Z-OC192-LR2-C 10 GBd XFP optical transceivers are designed for 10GBASE-ZR,

More information

Sense Amplifier Comparator with Offset Correction for Decision Feedback Equalization based Receivers

Sense Amplifier Comparator with Offset Correction for Decision Feedback Equalization based Receivers arxiv:1702.01067v1 [cs.ar] 3 Feb 2017 Sense Amplifier Comparator with Offset Correction for Decision Feedback Equalization based Receivers Naveen Kadayinti, and Dinesh Sharma Department of Electrical Engineering,

More information

3Gb/s CMOS Adaptive Equalizer for Backplane Serial Links

3Gb/s CMOS Adaptive Equalizer for Backplane Serial Links 3Gb/s CMOS Adaptive Equalizer for Backplane Serial Links JaeWook Lee and WooYoung Choi Department of Electrical and Electronic Engineering, Yonsei University patima@tera.yonsei.ac.kr Abstract A new line

More information

06-011r0 Towards a SAS-2 Physical Layer Specification. Kevin Witt 11/30/2005

06-011r0 Towards a SAS-2 Physical Layer Specification. Kevin Witt 11/30/2005 06-011r0 Towards a SAS-2 Physical Layer Specification Kevin Witt 11/30/2005 Physical Layer Working Group Goal Draft a Specification which will: 1. Meet the System Designers application requirements, 2.

More information

Experimental results on single wavelength 100Gbps PAM4 modulation. Matt Traverso, Cisco Marco Mazzini, Cisco Atul Gupta, Macom Tom Palkert, Macom

Experimental results on single wavelength 100Gbps PAM4 modulation. Matt Traverso, Cisco Marco Mazzini, Cisco Atul Gupta, Macom Tom Palkert, Macom Experimental results on single wavelength 100Gbps PAM4 modulation Matt Traverso, Cisco Marco Mazzini, Cisco Atul Gupta, Macom Tom Palkert, Macom 1 Past Presentations Selection of presentations at ieee

More information

A 4-Channel Fast Waveform Sampling ASIC in 130 nm CMOS

A 4-Channel Fast Waveform Sampling ASIC in 130 nm CMOS A 4-Channel Fast Waveform Sampling ASIC in 130 nm CMOS E. Oberla, H. Grabas, M. Bogdan, J.F. Genat, H. Frisch Enrico Fermi Institute, University of Chicago K. Nishimura, G. Varner University of Hawai I

More information

A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking

A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking UDC 621.3.049.771.14:681.3.01 A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking VKohtaroh Gotoh VHideki Takauchi VHirotaka Tamura (Manuscript

More information

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme Young-Chan Jang a) School of Electronic Engineering, Kumoh National Institute of Technology, 1, Yangho-dong,

More information

High-Speed Links. Agenda : High Speed Links

High-Speed Links. Agenda : High Speed Links High-Speed Links Vladimir Stojanovic (with slides from M. Horowitz, J. Zerbe, K.Yang and W. Ellersick) EE371 Lecture 16 Agenda : High Speed Links High-Speed Links, What,Where? Signaling Faster - Evolution»

More information

DWDM XENPAK Transceiver, 32 wavelengths, SC Connectors, 80km over Single Mode Fiber

DWDM XENPAK Transceiver, 32 wavelengths, SC Connectors, 80km over Single Mode Fiber CFORTH-DWDM-XENPAK-xx.xx Specifications Rev. D00B Preiminary DATA SHEET CFORTH-DWDM-XENPAK-xx.xx DWDM XENPAK Transceiver, 32 wavelengths, SC Connectors, 80km over Single Mode Fiber CFORTH-DWDM-XENPAK-xx.xx

More information

High-speed Serial Interface

High-speed Serial Interface High-speed Serial Interface Lect. 9 Noises 1 Block diagram Where are we today? Serializer Tx Driver Channel Rx Equalizer Sampler Deserializer PLL Clock Recovery Tx Rx 2 Sampling in Rx Interface applications

More information

1Gbps to 12.5Gbps Passive Equalizer for Backplanes and Cables

1Gbps to 12.5Gbps Passive Equalizer for Backplanes and Cables 19-46; Rev 2; 2/8 EVALUATION KIT AVAILABLE 1Gbps to 12.Gbps General Description The is a 1Gbps to 12.Gbps equalization network that compensates for transmission medium losses encountered with FR4 and cables.

More information

XFP-10GER-192IR V Operating Environment Supply Voltage 1.8V V CC V Operating Environment Supply Current 1.8V I CC1.

XFP-10GER-192IR V Operating Environment Supply Voltage 1.8V V CC V Operating Environment Supply Current 1.8V I CC1. XFP-10GER-192IR The XFP-10GER-192IRis programmed to be fully compatible and functional with all intended CISCO switching devices. This XFP optical transceiver is designed for IEEE 802.3ae 10GBASE-ER, 10GBASE-

More information

MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS

MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS MODELING THE PHASE STEP RESPONSE OF BANG-BANG DIGITAL PLLS Moataz Abdelfattah Supervised by: AUC Prof. Yehea Ismail Dr. Maged Ghoniema Intel Dr. Mohamed Abdel-moneum (Industry Mentor) Outline Introduction

More information

FIBER105.TIF OUTLINE DIMENSIONS in inches (mm) .176 (4.47).165 (4.19) .500 MIN (12.7) FIBER203.DIM. Pinout 1. Capacitor 2. VÙÙ 3.

FIBER105.TIF OUTLINE DIMENSIONS in inches (mm) .176 (4.47).165 (4.19) .500 MIN (12.7) FIBER203.DIM. Pinout 1. Capacitor 2. VÙÙ 3. FEATURES Converts fiber optic input signals to TTL digital outputs Typical sensitivity 500 nw peak ( 33 dbm) Single 5 V supply requirement Edge detection circuitry gives 20 db minimum dynamic range, low

More information

A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI

A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI G. Steffan 1, E. Depaoli 1, E. Monaco 1, N. Sabatino 1, W. Audoglio 1, A. A. Rossi 1, S. Erba 1, M. Bassi 2,

More information

2002 IEEE International Solid-State Circuits Conference 2002 IEEE

2002 IEEE International Solid-State Circuits Conference 2002 IEEE Outline 802.11a Overview Medium Access Control Design Baseband Transmitter Design Baseband Receiver Design Chip Details What is 802.11a? IEEE standard approved in September, 1999 12 20MHz channels at 5.15-5.35

More information

A 19-bit column-parallel folding-integration/cyclic cascaded ADC with a pre-charging technique for CMOS image sensors

A 19-bit column-parallel folding-integration/cyclic cascaded ADC with a pre-charging technique for CMOS image sensors LETTER IEICE Electronics Express, Vol.14, No.2, 1 12 A 19-bit column-parallel folding-integration/cyclic cascaded ADC with a pre-charging technique for CMOS image sensors Tongxi Wang a), Min-Woong Seo

More information

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors

Transmission-Line-Based, Shared-Media On-Chip. Interconnects for Multi-Core Processors Design for MOSIS Educational Program (Research) Transmission-Line-Based, Shared-Media On-Chip Interconnects for Multi-Core Processors Prepared by: Professor Hui Wu, Jianyun Hu, Berkehan Ciftcioglu, Jie

More information

High-Speed Transceiver Toolkit

High-Speed Transceiver Toolkit High-Speed Transceiver Toolkit Stratix V FPGA Design Seminars 2011 3.0 Stratix V FPGA Design Seminars 2011 Our seminars feature hour-long modules on different Stratix V capabilities and applications to

More information

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014

Considerations in High-Speed High Performance Die-Package-Board Co-Design. Jenny Jiang Altera Packaging Department October 2014 Considerations in High-Speed High Performance Die-Package-Board Co-Design Jenny Jiang Altera Packaging Department October 2014 Why Co-Design? Complex Multi-Layer BGA Package Horizontal and vertical design

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

Cascading Techniques for a High-Speed Memory Interface

Cascading Techniques for a High-Speed Memory Interface Session 12.7 Cascading echniques for a High-Speed Memory Interface Zheng Gu, Peter Gregorius, aniel Kehrer, Lydia Neumann, Evelyn Neuscheler, homas Rickes, Hermann Ruckerbauer, Ralf Schledz, Martin Streibl,

More information

THE power/ground line noise due to the parasitic inductance

THE power/ground line noise due to the parasitic inductance 260 IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 33, NO. 2, FEBRUARY 1998 Noise Suppression Scheme for Gigabit-Scale and Gigabyte/s Data-Rate LSI s Daisaburo Takashima, Yukihito Oowaki, Shigeyoshi Watanabe,

More information

A 0.95mW/1.0Gbps Spiral-Inductor Based Wireless Chip-Interconnect with Asynchronous Communication Scheme

A 0.95mW/1.0Gbps Spiral-Inductor Based Wireless Chip-Interconnect with Asynchronous Communication Scheme A 0.95mW/1.0Gbps Spiral-Inductor Based Wireless Chip-Interconnect with Asynchronous Communication Scheme Mamoru Sasaki and Atsushi Iwata Graduate School, Hiroshima University Kagamiyama 1-4-1, Higashihiroshima-shi,

More information