ISSCC 2006 / SESSION 4 / GIGABIT TRANSCEIVERS / 4.1

Size: px
Start display at page:

Download "ISSCC 2006 / SESSION 4 / GIGABIT TRANSCEIVERS / 4.1"

Transcription

1 SSCC 006 / SESSON 4 / GGABT TRANSCEVERS / A 0Gb/s 5-Tap-/4-Tap-FFE Transceiver in 90nm CMOS M. Meghelli, S. Rylov, J. Bulzacchelli, W. Rhee, A. Rylyakov, H. Ainspan, B. Parker, M. Beakes, A. Chung, T. Beukema, P. Pepeljugoski,. Shan, Y. Kwark, S. Gowda, D. Friedman BM, Yorktown Heights, NY To support the high bandwidth requirements of many systems such as servers or data communication routers, low-power smallarea /O solutions are needed for serial chip-to-chip communications at line rates beyond 0Gb/s []. These /Os must be capable of supporting low-cost package and board technologies that may introduce large signal degradation through bandwidth loss, reflection, and crosstalk. n this paper, a 90nm CMOS 0Gb/s transceiver is presented. The efficient implementation of a scheme in the receiver and of a FFE scheme in the transmitter allows NRZ data transmission and avoids the complexity and power consumption of a multilevel data-transmission design []. The transceiver design follows the basic architecture of the 0.3µm CMOS 6.4Gb/s SerDes core presented in [3]. The main design enhancements are related to the reduction of the response time and the improvement of the timing-recovery precision. Also, a more power-efficient half-rate TX architecture is adopted. As shown in Fig. 4.., the TX consists of a first multiplexing stage that retimes 4 single-ended quarter-rate data inputs and generates two differential half-rate even and odd data streams. These are shifted one U with respect to each other then interleaved together to form the st tap of the FFE, and successively shifted by a U then interleaved again together to form the 3 remaining taps. The 4 taps have maximum weights of {0.5,, 0.5, 0.5} with a resolution of {4, 6, 5, 4} bits respectively. The maximum main tap output amplitude is.v ppd. Figure 4.. shows the TX output eye diagram of a packaged part with -5% equalization on the st post-cursor compensating for diode capacitance and the extra 4dB of losses of the package and evaluation board. A breakout test site of the TX is described in detail in [4]. The RX block diagram is shown in Fig A T-coil compensation network is used to mitigate the effect of the diode capacitance on S. n order to ensure linear operation of the, a VGA regulates the data swing at the slicer to about 0.6V ppd (below -db compression point). The VGA is designed to have 6dB of gain range and handle up to.v ppd data input swing. Besides ensuring that the analog front-end of the receiver has a wide linear range of operation and 5GHz or higher 3dB bandwidth, the most challenging part in the design is to guarantee that the voltage at the slicer input (where weighted post-cursors, i.e., previously received data bits, are fed back and summed) has settled sufficiently before the data decision is made. f a classical fullrate approach is used, the feedback-loop delay including the settling time needs to be less than one U or 00ps at 0Gb/s. To ease this requirement and at the same time achieve lower power consumption, a half-rate clock with speculative feedback on the first post-cursor and dynamic feedback on the remaining taps has been implemented (Fig. 4..3). The feedback loop delay is designed so that % settling accuracy is achieved within U. The clock-recovery circuit operates on the non- equalized data signal and uses an Alexander-type half-rate phase detector. The early/late phase detector output is digitally filtered to generate increment/decrement signals that control a high-precision phase rotator. This phase rotator (Fig. 4..4) operates from two half-rate differential clock phases, and Q. t switches the polarity of the,q phases (quadrant selection) and uses a 4b CM interpolator to achieve 6 phase positions within each quadrant. The phase interpolator uses a 5-cell current-steering DAC plus two additional fixed-current cells of half size to realize interpolation ratios varying from 0.5:5.5 to 5.5:0.5. Avoiding zero-value interpolation weights allows the rotator to step across each quadrant boundary by changing phase polarity only (no change in interpolation ratio). The 5 cells of the DAC are not uniform; instead, their relative sizing is optimized for best rotator linearity, with the largest cells being switched near the quadrant boundaries. Rotator linearity is also improved with the use of slew-ratecontrolled buffers, which make the rotator inputs more sinusoidal. The rotator achieves a measured min-to-max step ratio better than :. A link demonstrator C is implemented and packaged in a plastic BGA module to conduct various link experiments. The C (Fig. 4..5) consists of two RX pairs and two TX pairs, each pair being either externally or internally clocked, and is configured through a parallel-port interface. The on-chip clock generation circuit consists of a full-rate C-VCO-based P operating from 9GHz to 3.4GHz. The jitter generation is <0.7ps rms (f c /667 00MHz noise integration bandwidth) and the transfer bandwidth lies between to 3MHz. t draws 30mA from an on-chip voltage regulator that generates a.v low-noise supply from.8v. The power consumption of one TX/RX pair and one P is 300mW (.V ppd TX data ouput swing). The link experiments presented in this paper are performed using the RX and TX pairs clocked by the on-chip Ps at the nominal data rate of 0Gb/s. n a first experiment, a 6-inch Tyco legacy backplane channel with 4dB losses at 5GHz is successfully equalized using a stand-alone module mounted on a socketed evaluation board and used in a serial loop-back configuration. Evaluation board, plastic module, and coaxial cabling bring the total losses to 33.5dB (from the C TX output back to the RX input). After the fixed transmitter FFE taps are configured for the channel and the has adapted, the bathtub curve of the equalized serial data stream is measured. To that end, the tap optimization loop is halted and the position of the phase rotator providing the data sampling clock (-clock) is externally controlled. As shown in Fig. 4..6, the equalized-signal horizontal eye opening is % at 0-9 BER. Finally, in another experiment, two modules directly soldered on a board are serially connected to each other through different channels. Figure 4..7 shows the horizontal eye openings at 0Gb/s and 0-9 BER for 0, 5, and 0-inch trace lengths with different via-stub configurations. Acknowledgments: The authors acknowledge funding support from the MPO; contract H C-090. They also wish to thank M. Sorna, S. Zier, P. Metty and K. Heilmann from BM Fishkill for their important support. References: [] Common Electrical /O (CE) Electrical and Jitter nteroperability Agreement for 6+ Gbps and + Gbps /O, Optical nterconnect Forum, CE-0.0, Feb., 005. [] J.. Zerbe, et al., Equalization and Recovery for a.5-0gb/s -PAM/4-PAM Backplane Transceiver Cell, EEE J. Solid-State Circuits, vol. 38, no., pp. -30, Dec., 003. [3] M. Sorna, et al., A 6.4Gb/s CMOS SerDes Core with Feedforward and Decision-Feedback Equalization, SSCC Dig. of Tech. Papers, pp. 6-63, Feb., 005. [4] A. Rylyakov, et al., A ow-power 0 Gb/s Serial ink Transmitter in 90-nm CMOS, EEE CSCS, pp. 89-9, Nov., EEE nternational Solid-State Circuits Conference / EEE

2 SSCC 006 / February 6, 006 / :30 PM V DDA =.V 50 V DD =.0V DACs & Bias Control V DDA =.V /4 / /4 x 4x x x Out-P Out-N (0Gb/s) ink = 'TX module - evaluation board - 4-inch coax' (.5Gb/s) D 0 D D D 3 V DDO =.0V (5Gb/s) 4: MUX sgn - sgn 0 sgn sgn 00 mv/div 7 ps/div 4dB losses at 5GHz C (5GHz) Figure 4..: Transmitter block diagram. Figure 4..: 0Gb/s packaged transmitter output eye diagram. From P (5GHz) n_p n_n (0Gb/s) Vcm 50 T-Coil Compensation Network V DD =V V DDA =.V VGA C- C-Q - control Phase rotator P P Q Phase Edge :8 detector Block Amp Q- control Tap weights CDR 8:6 CM CMOS 8 Amp Edge V DDO =V D 0 D D D 3 (.5Gb/s) SEWBUF SCK- SCK-Q PO 4 ZP ZN latch latch latch h-5 Tap-feedback and weighting latch latch latch Tap weights CK- CK-Q SEW 4 SEW DAC NT VB 30 Fixed cell 5-cell current-steering DAC 5 Figure 4..3: Receiver block diagram. Figure 4..4:,Q phase rotator schematic. ink Demonstrator Floorplan Rx RX Rx RX Rx RX Rx RX Tx Rx ink= TX module--inch coax-6-inch Tyco channel--inch coax-rx module P External External P CDR ogic Registers Parallel Port nterface Pre -drivers, DACs & 50 drivers 4: MUX mux 4-tap gen. Current Bias 8:6 Clk4 50 driver :8, Amp, Phase Edge align Rotator clk clk buf buf / : D drivers Current Mirrors & DACs Clk4 50 Drv VGA / Tcoil.00E+00.00E-0.00E-0 Bathtub Curves Bathtub Curve Vcm gen..00e-03.00e-04.00e-05 P C -VCO Phase ocked oop Clk dist west Voltage regulator VCO Cal P -Ref Clk dist east Band - gap BER.00E-06.00E-07.00E-08.00E-09.00E-0.00E-.00E phase position Phase Position Figure 4..5: ink demonstrator floorplan and layout details. Figure 4..6: Equalized 6-inch tycolegacy backplane channel. 006 EEE nternational Solid-State Circuits Conference / EEE

3 SSCC 006 / SESSON 4 / GGABT TRANSCEVERS / 4. ink: TX module-trace-rx module (inch) 5GHz losses Number of vias 3.8mm via stubs /.8mm via stubs /.8mm via throughs 0 (#) db / 0 / 0 0 (#) 0dB 0 / / 0 5 5dB 4 / / % 0 5dB 0 / 0 / Horizontal Eye Openning (0-9 BER) 50.00% 40.00% 30.00% 0.00% 0.00% +FFE FFE 0.00% 0" (#) 0" (#) 5" 0" ink Figure 4..7: Chip-to-Chip link equalization experiments. 006 EEE nternational Solid-State Circuits Conference / EEE

4 SSCC 006 / SESSON 4 / GGABT TRANSCEVERS / 4. V DDA =.V 50 Out-P V DD =.0V DACs & Bias Control V DDA =.V /4 / /4 x 4x x x Out-N (0Gb/s) V DDO =.0V (.5Gb/s) D 0 D D D 3 (5Gb/s) 4: MUX sgn - sgn 0 sgn sgn C (5GHz) Figure 4..: Transmitter block diagram. 006 EEE nternational Solid-State Circuits Conference / EEE

5 SSCC 006 / SESSON 4 / GGABT TRANSCEVERS / 4. ink = 'TX module - evaluation board - 4-inch coax' 00 mv/div 4dB losses at 5GHz 7 ps/div Figure 4..: 0Gb/s packaged transmitter output eye diagram. 006 EEE nternational Solid-State Circuits Conference / EEE

6 SSCC 006 / SESSON 4 / GGABT TRANSCEVERS / 4. From P (5GHz) n_p n_n (0Gb/s) Vcm 50 T-Coil Compensation Network V DD =V V DDA =.V VGA C- Phase rotator P P Phase detector Block C-Q Q - control Q- control Edge Amp :8 Tap weights CDR 8:6 CM CMOS 8 Amp Edge V DDO =V D 0 D D D 3 (.5Gb/s) latch latch latch h-5 Tap-feedback and weighting Tap weights latch latch latch Figure 4..3: Receiver block diagram. 006 EEE nternational Solid-State Circuits Conference / EEE

7 SSCC 006 / SESSON 4 / GGABT TRANSCEVERS / 4. ZP ZN SCK- SCK-Q SEWBUF PO 4 CK- CK-Q NT 30 SEW 4 SEW DAC VB Fixed cell 5-cell current-steering DAC 5 Figure 4..4:,Q phase rotator schematic. 006 EEE nternational Solid-State Circuits Conference / EEE

8 SSCC 006 / SESSON 4 / GGABT TRANSCEVERS / 4. ink Demonstrator Floorplan Rx RX Rx RX Rx RX Rx RX Tx Rx P External External P CDR ogic Registers Parallel Port nterface Pre -drivers, DACs & 50 drivers 4: MUX mux 4-tap gen. Current Bias 8:6 Clk4 50 driver :8, Amp, Phase Edge align Rotator clk clk buf buf / : D drivers Current Mirrors & DACs Clk4 50 Drv VGA / Tcoil Vcm gen. P C -VCO Phase ocked oop Clk dist west Voltage regulator VCO Cal P Clk dist east -Ref Band - gap Figure 4..5: ink demonstrator floorplan and layout details. 006 EEE nternational Solid-State Circuits Conference / EEE

9 SSCC 006 / SESSON 4 / GGABT TRANSCEVERS / 4. ink= TX module--inch coax-6-inch Tyco channel--inch coax-rx module BER BER Bathtub Curves.00E+00.00E-0.00E-0.00E-03.00E-04.00E-05.00E-06.00E-07.00E-08.00E-09.00E-0.00E-.00E phase position Bathtub Curve Phase Position Figure 4..6: Equalized 6-inch tycolegacy backplane channel. 006 EEE nternational Solid-State Circuits Conference / EEE

10 SSCC 006 / SESSON 4 / GGABT TRANSCEVERS / 4. ink: TX module-trace-rx module (inch) 5GHz losses Number of vias 3.8mm via stubs /.8mm via stubs /.8mm via throughs 0 (#) db / 0 / 0 0 (#) 0dB 0 / / 0 5 5dB 4 / / % 0 5dB 0 / 0 / Horizontal Eye Openning (0-9 BER) 50.00% 40.00% 30.00% 0.00% 0.00% +FFE FFE 0.00% 0" (#) 0" (#) 5" 0" ink Figure 4..7: Chip-to-Chip link equalization experiments. 006 EEE nternational Solid-State Circuits Conference / EEE

To learn fundamentals of high speed I/O link equalization techniques.

To learn fundamentals of high speed I/O link equalization techniques. 1 ECEN 720 High-Speed Links: Circuits and Systems Lab5 Equalization Circuits Objective To learn fundamentals of high speed I/O link equalization techniques. Introduction An ideal cable could propagate

More information

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c,

A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a, Wang Zhengchen b, Gui Xiaoyan c, 4th International Conference on Computer, Mechatronics, Control and Electronic Engineering (ICCMCEE 2015) A 5-Gb/s 156-mW Transceiver with FFE/Analog Equalizer in 90-nm CMOS Technology Wang Xinghua a,

More information

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems

A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems A 0.18µm SiGe BiCMOS Receiver and Transmitter Chipset for SONET OC-768 Transmission Systems M. Meghelli 1, A. Rylyakov 1, S. J. Zier 2, M. Sorna 2, D. Friedman 1 1 IBM T. J. Watson Research Center 2 IBM

More information

IN HIGH-SPEED wireline transceivers, a (DFE) is often

IN HIGH-SPEED wireline transceivers, a (DFE) is often 326 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 59, NO. 6, JUNE 2012 Decision Feedback Equalizer Architectures With Multiple Continuous-Time Infinite Impulse Response Filters Shayan

More information

Ultra-high-speed Interconnect Technology for Processor Communication

Ultra-high-speed Interconnect Technology for Processor Communication Ultra-high-speed Interconnect Technology for Processor Communication Yoshiyasu Doi Samir Parikh Yuki Ogata Yoichi Koyanagi In order to improve the performance of storage systems and servers that make up

More information

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab.

High-Speed Circuits and Systems Laboratory B.M.Yu. High-Speed Circuits and Systems Lab. High-Speed Circuits and Systems Laboratory B.M.Yu 1 Content 1. Introduction 2. Pre-emphasis 1. Amplitude pre-emphasis 2. Phase pre-emphasis 3. Circuit implantation 4. Result 5. Conclusion 2 Introduction

More information

A 10-Gb/s Compact Low-Power Serial I/O With DFE-IIR Equalization in 65-nm CMOS

A 10-Gb/s Compact Low-Power Serial I/O With DFE-IIR Equalization in 65-nm CMOS A 10-Gb/s Compact Low-Power Serial I/O With DFE-IIR Equalization in 65-nm CMOS The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation

More information

ECEN 720 High-Speed Links Circuits and Systems

ECEN 720 High-Speed Links Circuits and Systems 1 ECEN 720 High-Speed Links Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by transmitters.

More information

ECEN 620: Network Theory Broadband Circuit Design Fall 2012

ECEN 620: Network Theory Broadband Circuit Design Fall 2012 ECEN 620: Network Theory Broadband Circuit Design Fall 2012 Lecture 23: High-Speed I/O Overview Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 3 is postponed to Dec. 11

More information

ECEN 720 High-Speed Links: Circuits and Systems

ECEN 720 High-Speed Links: Circuits and Systems 1 ECEN 720 High-Speed Links: Circuits and Systems Lab4 Receiver Circuits Objective To learn fundamentals of receiver circuits. Introduction Receivers are used to recover the data stream transmitted by

More information

A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver*

A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver* A CMOS Multi-Gb/s 4-PAM Serial Link Transceiver* March 11, 1999 Ramin Farjad-Rad Center for Integrated Systems Stanford University Stanford, CA 94305 *Funding from LSI Logic, SUN Microsystems, and Powell

More information

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8

ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 ISSCC 2003 / SESSION 10 / HIGH SPEED BUILDING BLOCKS / PAPER 10.8 10.8 10Gb/s Limiting Amplifier and Laser/Modulator Driver in 0.18µm CMOS Technology Sherif Galal, Behzad Razavi Electrical Engineering

More information

100 Gb/s: The High Speed Connectivity Race is On

100 Gb/s: The High Speed Connectivity Race is On 100 Gb/s: The High Speed Connectivity Race is On Cathy Liu SerDes Architect, LSI Corporation Harold Gomard SerDes Product Manager, LSI Corporation October 6, 2010 Agenda 100 Gb/s Ethernet evolution SoC

More information

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2

ISSCC 2006 / SESSION 13 / OPTICAL COMMUNICATION / 13.2 13.2 An MLSE Receiver for Electronic-Dispersion Compensation of OC-192 Fiber Links Hyeon-min Bae 1, Jonathan Ashbrook 1, Jinki Park 1, Naresh Shanbhag 2, Andrew Singer 2, Sanjiv Chopra 1 1 Intersymbol

More information

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector

A 10-Gb/s Multiphase Clock and Data Recovery Circuit with a Rotational Bang-Bang Phase Detector JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.16, NO.3, JUNE, 2016 ISSN(Print) 1598-1657 http://dx.doi.org/10.5573/jsts.2016.16.3.287 ISSN(Online) 2233-4866 A 10-Gb/s Multiphase Clock and Data Recovery

More information

BER-optimal ADC for Serial Links

BER-optimal ADC for Serial Links BER-optimal ADC for Serial Links Speaker Name: Yingyan Lin Co-authors: Min-Sun Keel, Adam Faust, Aolin Xu, Naresh R. Shanbhag, Elyse Rosenbaum, and Andrew Singer Advisor s name: Naresh R. Shanbhag Affiliation:

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 0 Lecture 8: RX FIR, CTLE, & DFE Equalization Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam is

More information

Multi-level Signaling in Highdensity, High-speed Electrical Links

Multi-level Signaling in Highdensity, High-speed Electrical Links DesignCon 28 Multi-level Signaling in Highdensity, High-speed Electrical Links Dong G. Kam, IBM T. J. Watson Research Center dgkam@us.ibm.com Troy J. Beukema, IBM T. J. Watson Research Center Young H.

More information

A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking

A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking UDC 621.3.049.771.14:681.3.01 A 2-byte Parallel 1.25 Gb/s Interconnect I/O Interface with Self-configurable Link and Plesiochronous Clocking VKohtaroh Gotoh VHideki Takauchi VHirotaka Tamura (Manuscript

More information

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram

A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram LETTER IEICE Electronics Express, Vol.10, No.4, 1 8 A10-Gb/slow-power adaptive continuous-time linear equalizer using asynchronous under-sampling histogram Wang-Soo Kim and Woo-Young Choi a) Department

More information

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology

An 8-Gb/s Inductorless Adaptive Passive Equalizer in µm CMOS Technology JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.12, NO.4, DECEMBER, 2012 http://dx.doi.org/10.5573/jsts.2012.12.4.405 An 8-Gb/s Inductorless Adaptive Passive Equalizer in 0.18- µm CMOS Technology

More information

A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI

A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI A 64Gb/s PAM-4 Transmitter with 4-Tap FFE and 2.26pJ/b Energy Efficiency in 28nm CMOS FDSOI G. Steffan 1, E. Depaoli 1, E. Monaco 1, N. Sabatino 1, W. Audoglio 1, A. A. Rossi 1, S. Erba 1, M. Bassi 2,

More information

A 24Gb/s Software Programmable Multi-Channel Transmitter

A 24Gb/s Software Programmable Multi-Channel Transmitter A 24Gb/s Software Programmable Multi-Channel Transmitter A. Amirkhany 1, A. Abbasfar 2, J. Savoj 2, M. Jeeradit 2, B. Garlepp 2, V. Stojanovic 2,3, M. Horowitz 1,2 1 Stanford University 2 Rambus Inc 3

More information

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li 5th International Conference on Computer Sciences and Automation Engineering (ICCSAE 2015) Phase interpolation technique based on high-speed SERDES chip CDR Meidong Lin, Zhiping Wen, Lei Chen, Xuewu Li

More information

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface

A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit for Backplane Interface Proceedings of the 5th WSEAS Int. Conf. on CIRCUITS, SYSTEMS, ELECTRONICS, CONTROL & SIGNAL PROCESSING, Dallas, USA, November 1-3, 2006 225 A 10Gbps Analog Adaptive Equalizer and Pulse Shaping Circuit

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 2017 Lecture 12: CDRs Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Project Preliminary Report #2 due Apr. 20 Expand

More information

High-Speed Links. Agenda : High Speed Links

High-Speed Links. Agenda : High Speed Links High-Speed Links Vladimir Stojanovic (with slides from M. Horowitz, J. Zerbe, K.Yang and W. Ellersick) EE371 Lecture 16 Agenda : High Speed Links High-Speed Links, What,Where? Signaling Faster - Evolution»

More information

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface

A 1.5 Gbps Transceiver Chipset in 0.13-mm CMOS for Serial Digital Interface JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.17, NO.4, AUGUST, 2017 ISSN(Print) 1598-1657 https://doi.org/10.5573/jsts.2017.17.4.552 ISSN(Online) 2233-4866 A 1.5 Gbps Transceiver Chipset in 0.13-mm

More information

A PROGRAMMABLE PRE-CURSOR ISI EQUALIZATION CIRCUIT FOR HIGH-SPEED SERIAL LINK OVER HIGHLY LOSSY BACKPLANE CHANNEL

A PROGRAMMABLE PRE-CURSOR ISI EQUALIZATION CIRCUIT FOR HIGH-SPEED SERIAL LINK OVER HIGHLY LOSSY BACKPLANE CHANNEL A PROGRAMMABLE PRE-CUROR II EQUALIZATION CIRCUIT FOR HIGH-PEED ERIAL LINK OVER HIGHLY LOY BACKPLANE CHANNEL Bo Wang, Dianyong Chen, Bangli Liang, Jinguang Jiang 2 and Tad Kwasniewski DOE, Carleton University,

More information

Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology

Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology Low-power 2.5 Gbps VCSEL driver in 0.5 µm CMOS technology Bindu Madhavan and A. F. J. Levi Department of Electrical Engineering University of Southern California Los Angeles, California 90089-1111 Indexing

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2012 Lecture 5: Termination, TX Driver, & Multiplexer Circuits Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements

More information

A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer

A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer A 10Gb/s 10mm On-Chip Serial Link in 65nm CMOS Featuring a Half-Rate Time-Based Decision Feedback Equalizer Po-Wei Chiu, Somnath Kundu, Qianying Tang, and Chris H. Kim University of Minnesota, Minneapolis,

More information

Design Metrics for Blind ADC-Based Wireline Receivers

Design Metrics for Blind ADC-Based Wireline Receivers Design Metrics for Blind ADC-Based Wireline Receivers (Invited Paper) Ali Sheikholeslami 1 and Hirotaka Tamura 2 1 Department of Electrical and Computer Engineering, University of Toronto, Canada, 2 Fujitsu

More information

OIF CEI 6G LR OVERVIEW

OIF CEI 6G LR OVERVIEW OIF CEI 6G LR OVERVIEW Graeme Boyd, Yuriy Greshishchev T10 SAS-2 WG meeting, Houston, 25-26 May 2005 www.pmc-sierra.com 1 Outline! Why CEI-6G LR is of Interest to SAS-2?! CEI-6G- LR Specification Methodology!

More information

High-Speed Interconnect Technology for Servers

High-Speed Interconnect Technology for Servers High-Speed Interconnect Technology for Servers Hiroyuki Adachi Jun Yamada Yasushi Mizutani We are developing high-speed interconnect technology for servers to meet customers needs for transmitting huge

More information

Proposing. An Interpolated Pipeline ADC

Proposing. An Interpolated Pipeline ADC Proposing An Interpolated Pipeline ADC Akira Matsuzawa Tokyo Institute of Technology, Japan Matsuzawa & Okada Lab. Background 38GHz long range mm-wave system Role of long range mm-wave Current Optical

More information

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment

ECEN 720 High-Speed Links: Circuits and Systems. Lab3 Transmitter Circuits. Objective. Introduction. Transmitter Automatic Termination Adjustment 1 ECEN 720 High-Speed Links: Circuits and Systems Lab3 Transmitter Circuits Objective To learn fundamentals of transmitter and receiver circuits. Introduction Transmitters are used to pass data stream

More information

A Pin and Power Efficient Low Latency 8-12Gb/s/wire 8b8w- Coded SerDes Link for High Loss Channels in 40nm Technology

A Pin and Power Efficient Low Latency 8-12Gb/s/wire 8b8w- Coded SerDes Link for High Loss Channels in 40nm Technology A Pin and Power Efficient Low Latency 8-12Gb/s/wire 8b8w- Coded SerDes Link for High Loss Channels in 40nm Technology Anant Singh 1, Dario Carnelli 1, Altay Falay 1, Klaas Hofstra 1, Fabio Licciardello

More information

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard

A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard A Fully Integrated 20 Gb/s Optoelectronic Transceiver Implemented in a Standard 0.13 µm CMOS SOI Technology School of Electrical and Electronic Engineering Yonsei University 이슬아 1. Introduction 2. Architecture

More information

A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link

A 0.18µm CMOS Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link 1 A 0.18µm CMOS 3.125-Gb/s Digitally Controlled Adaptive Line Equalizer with Feed-Forward Swing Control for Backplane Serial Link Ki-Hyuk Lee, Jae-Wook Lee nonmembers and Woo-Young Choi regular member

More information

ECEN720: High-Speed Links Circuits and Systems Spring 2017

ECEN720: High-Speed Links Circuits and Systems Spring 2017 ECEN720: High-Speed Links Circuits and Systems Spring 207 Lecture 8: RX FIR, CTLE, DFE, & Adaptive Eq. Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Lab 4 Report and Prelab

More information

A Complete 64Gb/s/lane Active Electrical Repeater. Yue Lu, Jaeduk Han, Nicholas Sutardja Prof. Elad Alon January 23, 2014

A Complete 64Gb/s/lane Active Electrical Repeater. Yue Lu, Jaeduk Han, Nicholas Sutardja Prof. Elad Alon January 23, 2014 A Complete 64Gb/s/lane Active Electrical Repeater Yue Lu, Jaeduk Han, Nicholas Sutardja Prof. Elad Alon January 23, 2014 The Electrical Signaling Challenge Required I/O speed rising dramatically, but power

More information

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization

A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization A 5-8 Gb/s Low-Power Transmitter with 2-Tap Pre-Emphasis Based on Toggling Serialization Sung-Geun Kim, Tongsung Kim, Dae-Hyun Kwon, and Woo-Young Choi Department of Electrical and Electronic Engineering,

More information

Quad 12-Bit Digital-to-Analog Converter (Serial Interface)

Quad 12-Bit Digital-to-Analog Converter (Serial Interface) Quad 1-Bit Digital-to-Analog Converter (Serial Interface) FEATURES COMPLETE QUAD DAC INCLUDES INTERNAL REFERENCES AND OUTPUT AMPLIFIERS GUARANTEED SPECIFICATIONS OVER TEMPERATURE GUARANTEED MONOTONIC OVER

More information

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver

A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A 3.9 ns 8.9 mw 4 4 Silicon Photonic Switch Hybrid-Integrated with CMOS Driver A. Rylyakov, C. Schow, B. Lee, W. Green, J. Van Campenhout, M. Yang, F. Doany, S. Assefa, C. Jahnes, J. Kash, Y. Vlasov IBM

More information

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.8

ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.8 ISSCC 2004 / SESSION 26 / OPTICAL AND FAST I/O / 26.8 26.8 A 2GHz CMOS Variable-Gain Amplifier with 50dB Linear-in-Magnitude Controlled Gain Range for 10GBase-LX4 Ethernet Chia-Hsin Wu, Chang-Shun Liu,

More information

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation

LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation 196 LETTER A 1.25-Gb/s Burst-Mode Half-Rate Clock and Data Recovery Circuit Using Realigned Oscillation Ching-Yuan YANG a), Member and Jung-Mao LIN, Nonmember SUMMARY In this letter, a 1.25-Gb/s 0.18-µm

More information

EQUALIZATION of high-speed serial links has evolved

EQUALIZATION of high-speed serial links has evolved IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 41, NO. 6, JUNE 2006 1391 Phase and Amplitude Pre-Emphasis Techniques for Low-Power Serial Links James F. Buckwalter, Member, IEEE, Mounir Meghelli, Daniel J.

More information

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI

Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Accomplishment and Timing Presentation: Clock Generation of CMOS in VLSI Assistant Professor, E Mail: manoj.jvwu@gmail.com Department of Electronics and Communication Engineering Baldev Ram Mirdha Institute

More information

15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission.

15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission. 15.3 A 9.9G-10.8Gb/s Rate-Adaptive Clock and Data-Recovery with No External Reference Clock for WDM Optical Fiber Transmission. H. Noguchi, T. Tateyama, M. Okamoto, H. Uchida, M. Kimura, K. Takahashi Fiber

More information

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators

Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 38, NO. 1, JANUARY 2003 141 Single-Ended to Differential Converter for Multiple-Stage Single-Ended Ring Oscillators Yuping Toh, Member, IEEE, and John A. McNeill,

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication

Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Source Coding and Pre-emphasis for Double-Edged Pulse width Modulation Serial Communication Abstract: Double-edged pulse width modulation (DPWM) is less sensitive to frequency-dependent losses in electrical

More information

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme

A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme A digital phase corrector with a duty cycle detector and transmitter for a Quad Data Rate I/O scheme Young-Chan Jang a) School of Electronic Engineering, Kumoh National Institute of Technology, 1, Yangho-dong,

More information

on-chip Design for LAr Front-end Readout

on-chip Design for LAr Front-end Readout Silicon-on on-sapphire (SOS) Technology and the Link-on on-chip Design for LAr Front-end Readout Ping Gui, Jingbo Ye, Ryszard Stroynowski Department of Electrical Engineering Physics Department Southern

More information

3Gb/s CMOS Adaptive Equalizer for Backplane Serial Links

3Gb/s CMOS Adaptive Equalizer for Backplane Serial Links 3Gb/s CMOS Adaptive Equalizer for Backplane Serial Links JaeWook Lee and WooYoung Choi Department of Electrical and Electronic Engineering, Yonsei University patima@tera.yonsei.ac.kr Abstract A new line

More information

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010

ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 2010 ECEN689: Special Topics in High-Speed Links Circuits and Systems Spring 010 Lecture 3: CDR Wrap-Up Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam is April 30 Will emphasize

More information

OC-192 communications system block diagram

OC-192 communications system block diagram OC-192 communications system block diagram 10 Gb/s Laser Mod Photo Diode 10 Gb/s TIA + Preamp 10 GHz 16 TX E O O E RX 16 Network Processor 622Mb/s 10 Gb/s 622Mb/s Network Processor 16 RX E O O E TX 16

More information

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec.

Design and Implementation of High-Speed CMOS Clock and Data Recovery Circuit for Optical Interconnection Applications. Seong-Jun Song. Dec. MS Thesis esign and Implementation of High-Speed CMOS Clock and ata Recovery Circuit for Optical Interconnection Applications Seong-Jun Song ec. 20, 2002 oratory, epartment of Electrical Engineering and

More information

A Wide Tuning Range (1 GHz-to-15 GHz) Fractional-N All-Digital PLL in 45nm SOI

A Wide Tuning Range (1 GHz-to-15 GHz) Fractional-N All-Digital PLL in 45nm SOI 7- A Wide Tuning Range ( GHz-to-5 GHz) Fractional-N All-Digital PLL in 45nm SOI Alexander Rylyakov, Jose Tierno, George English 2, Michael Sperling 2, Daniel Friedman IBM T. J. Watson Research Center Yorktown

More information

SHF Communication Technologies AG

SHF Communication Technologies AG SHF Communication Technologies AG Wilhelm-von-Siemens-Str. 23D 12277 Berlin Germany Phone ++49 30 772 051-0 Fax ++49 30 753 10 78 E-Mail: sales@shf.de Web: http://www.shf.de Datasheet SHF 46123 A Optical

More information

HMC847LC5 MUX & DEMUX - SMT. Features. Typical Applications. Functional Diagram. General Description

HMC847LC5 MUX & DEMUX - SMT. Features. Typical Applications. Functional Diagram. General Description Typical Applications Features The HMC847LC5 is ideal for: SONET OC-768 RF ATE Applications Broadband Test & Measurements Serial Data Transmission up to 45 Gbps High Speed DAC Interfacing Functional Diagram

More information

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California

A 4 GSample/s 8-bit ADC in. Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California A 4 GSample/s 8-bit ADC in 0.35 µm CMOS Ken Poulton, Robert Neff, Art Muto, Wei Liu, Andrew Burstein*, Mehrdad Heshami* Agilent Laboratories Palo Alto, California 1 Outline Background Chip Architecture

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

10-Bit µp-compatible D/A converter

10-Bit µp-compatible D/A converter DESCRIPTION The is a microprocessor-compatible monolithic 10-bit digital-to-analog converter subsystem. This device offers 10-bit resolution and ±0.1% accuracy and monotonicity guaranteed over full operating

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

LSI and Circuit Technologies for the SX-8 Supercomputer

LSI and Circuit Technologies for the SX-8 Supercomputer LSI and Circuit Technologies for the SX-8 Supercomputer By Jun INASAKA,* Toshio TANAHASHI,* Hideaki KOBAYASHI,* Toshihiro KATOH,* Mikihiro KAJITA* and Naoya NAKAYAMA This paper describes the LSI and circuit

More information

TIMING recovery (TR) is one of the most challenging receiver

TIMING recovery (TR) is one of the most challenging receiver IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 12, DECEMBER 2006 1393 A Baud-Rate Timing Recovery Scheme With a Dual-Function Analog Filter Faisal A. Musa, Student Member, IEEE,

More information

Dedication. To Mum and Dad

Dedication. To Mum and Dad Dedication To Mum and Dad Acknowledgment Table of Contents List of Tables List of Figures A B A B 0 1 B A List of Abbreviations Abstract Chapter1 1 Introduction 1.1. Motivation Figure 1. 1 The relative

More information

2.5Gbps, +3.3V Clock and Data Retiming ICs with Vertical Threshold Adjust

2.5Gbps, +3.3V Clock and Data Retiming ICs with Vertical Threshold Adjust 19-262; Rev ; 5/1 2.5Gbps, +3.3V Clock and Data Retiming ICs General Description The are compact, low-power clock recovery and data retiming ICs for 2.488Gbps SONET/ SDH applications. The fully integrated

More information

SHF BERT, DAC & Transmitter for Arbitrary Waveform Generation & Optical Transmission

SHF BERT, DAC & Transmitter for Arbitrary Waveform Generation & Optical Transmission SHF BERT, DAC & Transmitter for Arbitrary Waveform Generation & Optical Transmission SHF reserves the right to change specifications and design without notice SHF BERT V017 Jan., 017 Page 1/8 All new BPG

More information

Low Power Digital Receivers for Multi- Gb/s Wireline/Optical Communication

Low Power Digital Receivers for Multi- Gb/s Wireline/Optical Communication Low Power Digital Receivers for Multi- Gb/s Wireline/Optical Communication by A K M Delwar Hossain A thesis submitted in partial fulfillment of the requirements for the degree of Master of Science in Integrated

More information

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 12, DECEMBER

IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 12, DECEMBER IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 12, DECEMBER 2005 2633 A 6.4-Gb/s CMOS SerDes Core With Feed-Forward and Decision-Feedback Equalization Troy Beukema, Michael Sorna, Karl Selander, Steven

More information

SGM ns, Low-Power, 3V/5V, Rail-to-Rail Input Single-Supply Comparator

SGM ns, Low-Power, 3V/5V, Rail-to-Rail Input Single-Supply Comparator 45ns, Low-Power, 3V/5V, Rail-to-Rail GENERAL DESCRIPTION The is a single high-speed comparator optimized for systems powered from a 3V or 5V supply. The device features high-speed response, low-power consumption,

More information

A 24-Channel 300 Gb/s 8.2 pj/bit Full-Duplex Fiber-Coupled Optical Transceiver Module Based on a Single Holey CMOS IC

A 24-Channel 300 Gb/s 8.2 pj/bit Full-Duplex Fiber-Coupled Optical Transceiver Module Based on a Single Holey CMOS IC A 24-Channel 300 Gb/s 8.2 pj/bit Full-Duplex Fiber-Coupled Optical Transceiver Module Based on a Single Holey CMOS IC A. Rylyakov, C. Schow, F. Doany, B. Lee, C. Jahnes, Y. Kwark, C.Baks, D. Kuchta, J.

More information

Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters

Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters 0 Architectures and Design Methodologies for Very Low Power and Power Effective A/D Sigma-Delta Converters F. Maloberti University of Pavia - Italy franco.maloberti@unipv.it 1 Introduction Summary Sigma-Delta

More information

SGM ns, Low-Power, 3V/5V, Rail-to-Rail Input Single-Supply Comparator

SGM ns, Low-Power, 3V/5V, Rail-to-Rail Input Single-Supply Comparator 150ns, Low-Power, 3V/5V, Rail-to-Rail GENERAL DESCRIPTION The is a single high-speed comparator optimized for systems powered from a 3V or 5V supply. The device features high-speed response, low-power

More information

Dual-Rate Fibre Channel Repeaters

Dual-Rate Fibre Channel Repeaters 9-292; Rev ; 7/04 Dual-Rate Fibre Channel Repeaters General Description The are dual-rate (.0625Gbps and 2.25Gbps) fibre channel repeaters. They are optimized for use in fibre channel arbitrated loop applications

More information

A Modular All Digital PLL Architecture Enabling Both 1-to-2 GHz and 24-to 32-GHz Operation in 65nm CMOS

A Modular All Digital PLL Architecture Enabling Both 1-to-2 GHz and 24-to 32-GHz Operation in 65nm CMOS A Modular All Digital PLL Architecture Enabling Both 1-to-2 GHz and 24-to 32-GHz Operation in 65nm CMOS A. V. Rylyakov 1, J. A. Tierno 1, D. Z. Turker 2, J.-O. Plouchart 1 H. A. Ainspan 1, D. J. Friedman

More information

20Gb/s 0.13um CMOS Serial Link

20Gb/s 0.13um CMOS Serial Link 20Gb/s 0.13um CMOS Serial Link Patrick Chiang (pchiang@stanford.edu) Bill Dally (billd@csl.stanford.edu) Ming-Ju Edward Lee (ed@velio.com) Computer Systems Laboratory Stanford University Stanford University

More information

SiGe BiCMOS integrated circuits for highspeed. communication links

SiGe BiCMOS integrated circuits for highspeed. communication links SiGe BiCMOS integrated circuits for highspeed serial communication links Considerable progress has been made in integrating multi-gb/s functions into silicon chips for data- and telecommunication applications.

More information

6.976 High Speed Communication Circuits and Systems Lecture 21 MSK Modulation and Clock and Data Recovery Circuits

6.976 High Speed Communication Circuits and Systems Lecture 21 MSK Modulation and Clock and Data Recovery Circuits 6.976 High Speed Communication Circuits and Systems Lecture 21 MSK Modulation and Clock and Data Recovery Circuits Michael Perrott Massachusetts Institute of Technology Copyright 2003 by Michael H. Perrott

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN620: Network Theory Broadband Circuit Design Fall 2014 Lecture 19: High-Speed Transmitters Sam Palermo Analog & Mixed-Signal Center Texas A&M University Announcements Exam 3 is on Friday Dec 5 Focus

More information

Statistical Link Modeling

Statistical Link Modeling April 26, 2018 Wendem Beyene UIUC ECE 546 Statistical Link Modeling Review of Basic Techniques What is a High-Speed Link? 1011...001 TX Channel RX 1011...001 Clock Clock Three basic building blocks: Transmitter,

More information

1.25Gbps/2.5Gbps, +3V to +5.5V, Low-Noise Transimpedance Preamplifiers for LANs

1.25Gbps/2.5Gbps, +3V to +5.5V, Low-Noise Transimpedance Preamplifiers for LANs 19-4796; Rev 1; 6/00 EVALUATION KIT AVAILABLE 1.25Gbps/2.5Gbps, +3V to +5.5V, Low-Noise General Description The is a transimpedance preamplifier for 1.25Gbps local area network (LAN) fiber optic receivers.

More information

D f ref. Low V dd (~ 1.8V) f in = D f ref

D f ref. Low V dd (~ 1.8V) f in = D f ref A 5.3 GHz Programmable Divider for HiPerLAN in 0.25µm CMOS N. Krishnapura 1 & P. Kinget 2 Lucent Technologies, Bell Laboratories, USA. 1 Currently at Columbia University, New York, NY, 10027, USA. 2 Currently

More information

56+ Gb/s Serial Transmission using Duobinary Signaling

56+ Gb/s Serial Transmission using Duobinary Signaling 56+ Gb/s Serial Transmission using Duobinary Signaling Jan De Geest Senior Staff R&D Signal Integrity Engineer, FCI Timothy De Keulenaer Doctoral Researcher, Ghent University, INTEC-IMEC Introduction Motivation

More information

Comparison of Bandwidth Limits for On-card Electrical and Optical Interconnects for 100 Gb/s and Beyond

Comparison of Bandwidth Limits for On-card Electrical and Optical Interconnects for 100 Gb/s and Beyond Invited Paper Comparison of Bandwidth Limits for On-card Electrical and Optical Interconnects for 1 Gb/s and Beyond Petar Pepeljugoski *, Mark Ritter, Jeffrey A. Kash, Fuad Doany, Clint Schow, Young Kwark,

More information

Signal Technologies 1

Signal Technologies 1 Signal Technologies 1 Gunning Transceiver Logic (GTL) - evolution Evolved from BTL, the backplane transceiver logic, which in turn evolved from ECL (emitter-coupled logic) Setup of an open collector bus

More information

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren

A 14-bit 2.5 GS/s DAC based on Multi-Clock Synchronization. Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng, Haitao Guan, Jinhao Wang, Yan Ren Joint International Mechanical, Electronic and Information Technology Conference (JIMET 2015) A 14-bit 2.5 GS/s based on Multi-Clock Synchronization Hegang Hou*, Zongmin Wang, Ying Kong, Xinmang Peng,

More information

High Speed I/O 2-PAM Receiver Design. EE215E Project. Signaling and Synchronization. Submitted By

High Speed I/O 2-PAM Receiver Design. EE215E Project. Signaling and Synchronization. Submitted By High Speed I/O 2-PAM Receiver Design EE215E Project Signaling and Synchronization Submitted By Amrutha Iyer Kalpana Manickavasagam Pritika Dandriyal Joseph P Mathew Problem Statement To Design a high speed

More information

1 / 8

1 / 8 Version 1.06a http://www.steligent.com 1 / 8 Introduction The Steligent PBT8868A is a high performance, easy to use, cost-effective, 8 x 112Gb/s PAM4 Bit Error Rate Tester (BERT) for current 200G/400G

More information

10GBASE-T Transmitter SNDR Definition (System ID Approach) IEEE P802.3an Task Force Santa Clara, Feb 2005 Albert Vareljian, Hiroshi Takatori KeyEye

10GBASE-T Transmitter SNDR Definition (System ID Approach) IEEE P802.3an Task Force Santa Clara, Feb 2005 Albert Vareljian, Hiroshi Takatori KeyEye 10GBASE-T Transmitter SNDR Definition (System ID Approach) IEEE P802.3an Task Force Santa Clara, Feb 2005 Albert Vareljian, Hiroshi Takatori KeyEye 1 OUTLINE Transmitter Performance Evaluation Block Diagram

More information

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation

A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise Degradation 2518 IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 59, NO. 11, NOVEMBER 2012 A 5.4-Gb/s Clock and Data Recovery Circuit Using Seamless Loop Transition Scheme With Minimal Phase Noise

More information

MAX3942 PWC+ PWC- MODSET. 2kΩ + V MODSET - L1 AND L2 ARE HIGH-FREQUENCY FERRITE BEADS REPRESENTS A CONTROLLED-IMPEDANCE TRANSMISSION LINE.

MAX3942 PWC+ PWC- MODSET. 2kΩ + V MODSET - L1 AND L2 ARE HIGH-FREQUENCY FERRITE BEADS REPRESENTS A CONTROLLED-IMPEDANCE TRANSMISSION LINE. 19-2934; Rev 1; 6/7 1Gbps Modulator Driver General Description The is designed to drive high-speed optical modulators at data rates up to 1.7Gbps. It functions as a modulation circuit, with an integrated

More information

SHF Communication Technologies AG. Wilhelm-von-Siemens-Str. 23D Berlin Germany. Phone Fax

SHF Communication Technologies AG. Wilhelm-von-Siemens-Str. 23D Berlin Germany. Phone Fax SHF Communication Technologies AG Wilhelm-von-Siemens-Str. 23D 12277 Berlin Germany Phone ++49 30 772 051-0 Fax ++49 30 753 10 78 E-Mail: sales@shf.de Web: http://www.shf.de Datasheet SHF D836 A Differential

More information

SHF BERT & DAC for NRZ, PAM4 and Arbitrary Waveform Generation

SHF BERT & DAC for NRZ, PAM4 and Arbitrary Waveform Generation SHF BERT & DAC for NRZ, PAM4 and Arbitrary Waveform Generation Content SHF s one for all System 2 (a) 64 or 120 Gbps binary NRZ BERT 2 (b) 60 GSymbols/s AWG 3 (c) 60 GBaud PAM4 Generator and Analyzer (PAM4-BERT)

More information

SHF Communication Technologies AG

SHF Communication Technologies AG SHF Communication Technologies AG Wilhelm-von-Siemens-Str. 23D 12277 Berlin Germany Phone ++49 30 772 051-0 Fax ++49 30 753 10 78 E-Mail: sales@shf.de Web: http://www.shf.de Datasheet SHF 46120 B Optical

More information

SiNANO-NEREID Workshop:

SiNANO-NEREID Workshop: SiNANO-NEREID Workshop: Towards a new NanoElectronics Roadmap for Europe Leuven, September 11 th, 2017 WP3/Task 3.2 Connectivity RF and mmw Design Outline Connectivity, what connectivity? High data rates

More information