A CMOS UWB Transmitter for Intra/Inter-chip Wireless Communication

Size: px
Start display at page:

Download "A CMOS UWB Transmitter for Intra/Inter-chip Wireless Communication"

Transcription

1 A CMOS UWB Transmitter for Intra/Inter-chip Wireless Communication Pran Kanai Saha, Nobuo Sasaki and Takamaro Kikkawa Research Center For Nanodevices and Systems, Hiroshima University Kagamiyama, Higashi Hiroshima , Japan Phone: Abstract-This paper presents a single chip Ultra Wideband (UWB) transmitter which transmits very short duration Gaussian monocycle pulses having wide bandwidth as a signal without a sinusoidal carrier. A new technique based on CMOS technology to generate the monocycle pulse with a target monocycle pulse center frequency of 5 GHz is also presented. The proposed single chip UWB transmitter has been simulated by HSPICE for 0.18 µm CMOS process and results are presented in this paper. Simulation reveals that the proposed transmitter has a wide bandwidth characteristics and can be effectively used for inter/intra chip wireless interconnection. I. INTRODUCTION Steady downscaling of semiconductor device dimensions has been the main stimulus to achieve higher speed and performance of integrated circuits over the past decades. Unfortunately scaling has a reverse effects on the delay associated with the parasitic resistance, capacitance and inductance of conventional wiring. Now the industry is producing logic integrated circuits crammed with over 100 million transistors whose critical dimension is about 0.1 µm. At this level of integration, the main obstacle for achieving higher speed is not the device switching delay, but the delay associated with the interconnects. Again the demand for System On a Chip (SOC) has increased interest in three dimension integrated circuits. The parasitic resistance, capacitance and inductance of conventional wiring will become the primary obstacle for high speed data transmission and for the distribution of high frequency clock signal among different sub-circuits in such a 3-D IC. Recently Intra/Interchip wireless interconnect systems using integrated antenna is proposed to realize high speed data and clock distribution without any parasitics delay [1-3]. Such a interconnect system as shown in Fig. 1 requires transmitter, receiver and antenna. For high data transmission rate and multiple access capability of this wireless interconnection system, it requires wideband characteristics of integrated transmitter, receiver and antenna. According to the channel capacity theorem, data transmission rate or channel capacity grows linearly with channel bandwidth and logarithmically with signal to noise ratio [4]. Thus a UWB system appears to have a great potential for implementation of wireless interconnect system for future ULSI. TX =Receiver TX= Transmitter TX IC1 IC2 In this paper implementation UWB transmitter in a single chip for future intra/interchip wireless interconnection is presented. The well known time hopping spread spectrum technique with pulse position modulation is employed in UWB transmitter. The time hopped or time shifted pulse is then used to generate monocycle pulse for high speed data and clock transmission. The paper is organized as follows. Section II describes the functional aspects of UWB transmitter. A CMOS UWB transmitter circuit and its HSPICE simulation results are presented in section III. Section IV contains the concluding remarks. II. UWB TRANSMITTER CONCEPT The UWB transmitter should transmit very short duration Gaussian monocycle pulses as a signal without a sinusoidal carrier. The functional block diagram of the transmitter to generate the UWB signal is shown in Fig. 2. A time shifted pulse train is generated from the frame clock in accordance with the pseudorandom sequence data which provides multiple access capability. Pulse position modulation (PPM) is used to encode data onto the pulse train. The PPM could transmit a pulse a fraction of the pulse width late (relative to nominal position) to represent a 1 bit and without any late (i.e. at nominal position) to represent 0 bit Fig. 1 Wireless interconnect system for future ULSI Intrachip and Interchip.

2 At the receiver side the cross correlation technique (a matched filter that consists of mixer followed by an integrator) is to be used to convert each time shifting RF pulse into a baseband signal [5]. Antenna Pulse Generator compartment or slot in a frame is 8. An additional time shifting d k j/ns is provided to encode the data sequence corresponding to the j th pulse of the k th user where d k j/ns ε {0 1}. Here represents the modulation factor (i.e. the time interval between bit 1 and 0 ) whose optimum value is a fraction of the monocycle duration. N s represents the number of pulse repetitions per data bit yielding the actual data transmission rate R s = 1/N s T f bit per second. III. UWB TRANSMITTER CIRCUIT and SIMULATION PPM Data Programmable Time PN sequence Generator Frame clock The UWB transmitter is implemented by using the current 0.18µm CMOS technology. The circuit schematic of UWB transmitter is shown in Fig. 3. The VCO produces a signal of 800 MHz which is divided by 16 (by using four divide by 2 circuit) to produce a frame clock of 50 MHz (i.e. T f =20 ns). T c τ MHz VCO Ring oscillator 50 MHz Frame 400 MHz Chip Time shifted frame Initial Shift data (THS) Impedance Matching ckt T f T f Voltage controlled lines LFSR UWB Pulse Generator Monocycle pulse (MCP) Fig.2 Functional block of UWB transmitter and UWB pulse train..a typical time shifting with pulse position modulation UWB signal generated by the k th transmitter (i.e. k th user or k th chip) is given as [6] Data D 0 D 1 D 7 8 to 1 Multiplexer PN Seq. Differential output analog buffer Tx Antenna k ( k ) ( k ) s ( t) = w ( t jt c T d ) [1] tr f j c [ j / N s ] Time shifting for PPM 2 to 1 Multiplexer Here w tr (t) represents the transmitted Gaussian monocycle that nominally begins at a time of zero on the transmitter s clock. The jth monocycle generally begins at jt f +c (k) j T c + d (k). Here T f is the pulse repetition time which is typically be a hundred to thousand times of the monocycle width [6]. In the present work the pulse width (τ) is considered to be as 0.33ns and pulse repetition time or frame clock is 20ns which is 60 times of the pulse width. These values are taken to avoid the complexity of the circuit design for initial investigation. A frame consists of a number of compartments which is occupied by one of the k th users (i.e. k th chip). In order to avoid the catastrophic collision in multiple accessing due to the possible occupancy of the same compartment at the same instance by the users and to spread the spectrum, each chip is assigned a distinct pulse shift pattern (c k j ) known as time hopping code. These time hopping code is periodic with a period N p. The time hopping code provides an additional time shift of c k j T c seconds to each jth pulse of the kth user. Here Tc, the controllable unit time also known as hopping period should satisfy N h T c T f to avoid any collision from next pulse. N h denotes the maximum decimal value of the hopping code. In this work three bit hopping is considered which limits decimal value of N h from 0 to 7 and thus the number of Fig. 3 Circuit Schematic of UWB transmitter. The simulated VCO output before and after divider is shown in Fig. 4. The frequency stability of the frame clock is an important factor in UWB system. It is found from simulation that the frequency stability with change of V dd and temperature is about 5% in the worst case. The phase noise of the VCO is calculated by the method explained in [7] and is found as 93.6 dbc/hz for 100KHz offset. The seven time shifted frame is generated from the frame by the precise delay lines. The delay generation circuit is based on the voltage controlled delay line which consists a number of buffer stages connected in series. For the present investigation only eight channel is considered thus the frame is divided into eight slots. Each slot occupies a time of 2.5ns in frame. Thus the delay circuit is tapped at seven points so that a delay of 2.5ns interval with reference to frame clock can be achieved. The simulated output is shown in Fig. 5. Linear feedback shift register which consists of four clocked D-type Flip-Flop along with an exclusive-or logic as feedback is used to generate pseudorandom sequence. The initial shift in data is taken as [ ]. This will repeat after the every 15 th cycle of the clock frequency of 400 MHz (i.e. T c =2.5ns).

3 Fig MHz. VCO output; 400 MHz clock obtained from first divider output and 50 MHz Frame clock. (f) (g) (h) Fig. 5 Time shifted frame: 20ns frame clock; 2.5ns; 5ns ; 7.5ns ; 10ns ; (f) 12.5ns; (g) 15ns and (h) 17.5ns shifted frame. The simulated result is shown in Fig. 6. It is observed that the generated PN sequence satisfies all its randomness properties such as balance, run and co-relation property. [1] (c ) Fig. 6 PN sequence from LFSR with a initial input of [ ]: 400 MHz chip clock;,, and shift register outputs. An eight to 1 multiplexer circuit is designed using conventional CMOS NAND and NOR gate. The multiplexer selects the time shifted frame clock according to PN sequence with three hopping bit. This is due to the fact that c j of (1), of section II is taken as 0 to 7 because of considering the eight channel in this work. The time shifting due to PPM is done by the fine delay line which provides a delay of approximately the modulation time ( =5ns). This modulation time is taken because of the minimum delay of the fine delay line. The time shifted pulse is then selected according to data symbol 0 or 1 by using the two to 1 multiplexer and finally the time shifted pulse train due to PN sequence and PPM to encode data is obtained from the output of two to 1 multiplexer. Both eight to 1 and two to 1 multiplexers outputs are shown in Fig. 7. For the present investigation, return to zero (RZ) data signal with a width of 1.25ns is considered. Here data symbol width and PN sequence symbol width is considered to be equal. The Fig. 7(f) shows that the data is placed in the desired compartment at desired position of the frame according to PN sequence and data symbol. The monocycle pulse is then generated from the output of two to 1 multiplexer (time shifted pulse train). The monocycle pulse generator circuit as shown in Fig. 8, produces damped sinusoidal like waveform from the time shifted pulse train.

4 (f) Fig. 7 Time shifted pulse train due to PN sequence and PPM containing data:,, and : hopping bit sequence; 8 to 1 multiplexer output ; RZ data [ ] and (f) two to 1 multiplexer output. THS SRP Pulse Generator (PG) GCP Inverter Vosc (MCP) from the time shifted pulse train through a pulse generator circuit which consists of voltage controlled delay, exclusive-or, NAND and buffer circuit. The frequency of oscillation (f) of the damped sinusoid signal can be controlled by varying inductance and capacitance values of RLC network. This signal is then passed through transmission gate which is controlled by the pulse of desired width (1/f) to pass the first cycle of the generated signal at the output. The transmission gate control pulse (GCP) is also generated from the same time shifted pulse using pulse generator circuit shown in Fig 8. The simulated output of the monocycle pulse generation circuit is shown in Fig. 9. The FFT of the generated monocycle pulse is shown in Fig Fig. 9 Monocycle pulse train containing information: time shifted pulse contain data;(ths) short pulse (SRP) for RLC circuit; damped sinusoidal signal (Vosc); gate control pulse (GCP) and Monocycle pulse (MCP) train. 0 THS Buffer Buffer Fig. 8 Monocycle pulse generator and Pulse generator. A short pulse (SRP) is applied as input of the monocycle pulse generation circuit to produce oscillation. The SRP is generated SRP GCP FFT Amplitude (db) Frequency (GHz) Fig. 10 FFT of monocycle pulse (zoom view is shown).

5 The FFT shows that the generated monocycle has wide half power bandwidth (BW) of approximately 116% the monocycle pulse center frequency (3GHz). The developed UWB transmitter performance data is given in Table I. UWB system Carrier Frequency Transmitter Signal bandwidth Data rate Single Channel bandwidth Modulation Average power consumption Architecture Technology Implementation Circuit Size Application Time hopping Impulse No carrier 3.3 GHz 50 Mbps 400 MHz Pulse position modulation 12.5 mw All digital except pulse generator TSMC 1.8v, 0.18 µm CMOS mixed signal process Single chip mm 2 (excluding antenna) Short distance (on chip wireless interconnection for future ULSI) The proposed UWB transmitter circuit is implemented using TSMC 0.18 µm CMOS mixed signal process. The chip layout is shown in Fig. 11. The chip size is 1078µmX677µm and the operating voltage is 1.8v. Mux 2 to 1 Mux-8 to 1 Table I Transmitter performance data Divider VCO Monocycle Pulse generator LFSR Fig. 11 UWB Transmitter chip layout. IV. CONCLUSION All circuit blocks of the UWB transmitter presented in this paper are almost digital architecture except monocycle generation circuit. However monocycle can be generated using the current CMOS technology which has led us to implement the UWB transmitter in a single chip. In the present investigation monocycle center frequency is 3 GHz. This is due to the use of available lowest value of spiral inductor (2.35nH) from the existing TSMC library. The monocycle pulse center frequency can be increased by using low value of inductance and short GCP. Since the monocycle is generated from the time shifted pulse, so it is only necessary to keep constant gate delay with any variation of V dd and substrate voltage. ACKNOWLEDGEMENT This work is supported by the Ministry of Education, Culture, Sports, Science and Technology, Japan under 21 st Century COE program, Hiroshima University. REFERENCES 1. A B M H Rashid, S Watanabe and T. Kikkawa, High Transmission Gain Integrated Antenna on Extremely High Resistivity Si for ULSI Wireless Interconnect, IEEE Electron device letter, vol.. 23, No.12, Dec 2002, pp A B M H Rashid, S Watanabe and T. Kikkawa, Characteristics of Integrated Antenna on Si for On-Chip Wireless Interconnect, Japanese Journal of Applied Physics (JJAP), vol. 42 (2003), pp Brian A. Floyd, Chih-Ming Hung and Kenneth K.O, Intra-Chip Wireless Interconnect for Clock Distribution Implemented With Integrated Antennas, Receivers and Transmitters, IEEE Journal of Solid State Circuits, vol 37, No. 5, May 2002, PP T.M. Cover, J. A. Thomas, Elements of Information Theory, John Wiley & Sons, Inc. New York, N. Sasaki, P. K. Saha and T. Kikkawa, A Single Chip UWB Receiver based on 0.18 µm CMOS Technology for Wireless Interconnection, Second Hiroshima International Workshop on Nanoelectronics for Tera-Bit Information Processing, Jan. 30, 2004, pp Moe Z Win and Robert A. Scholtz, Ultra-Wide Bandwidth Time-Hopping Spread-Spectrum Impulse Radio for Wireless Multiple-Access Communications, IEEE Transactions on Communications, vol 48, no. 4, April 2000, pp Liang Dai and Ramesh Harjani, Design of Low-Phase-Noise CMOS Ring Oscillators, IEEE Transactions on Ciccuits and Systems- II Analog and Digital Signal Processing, vol.. 49, no. 5, May 2002, pp

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency

UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency UMAINE ECE Morse Code ROM and Transmitter at ISM Band Frequency Jamie E. Reinhold December 15, 2011 Abstract The design, simulation and layout of a UMAINE ECE Morse code Read Only Memory and transmitter

More information

DESIGN OF CMOS BASED FM MODULATOR USING 90NM TECHNOLOGY ON CADENCE VIRTUOSO TOOL

DESIGN OF CMOS BASED FM MODULATOR USING 90NM TECHNOLOGY ON CADENCE VIRTUOSO TOOL DESIGN OF CMOS BASED FM MODULATOR USING 90NM TECHNOLOGY ON CADENCE VIRTUOSO TOOL 1 Parmjeet Singh, 2 Rekha Yadav, 1, 2 Electronics and Communication Engineering Department D.C.R.U.S.T. Murthal, 1, 2 Sonepat,

More information

Fully integrated UHF RFID mobile reader with power amplifiers using System-in-Package (SiP)

Fully integrated UHF RFID mobile reader with power amplifiers using System-in-Package (SiP) Fully integrated UHF RFID mobile reader with power amplifiers using System-in-Package (SiP) Hyemin Yang 1, Jongmoon Kim 2, Franklin Bien 3, and Jongsoo Lee 1a) 1 School of Information and Communications,

More information

A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection

A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection A Low Power 900MHz Superheterodyne Compressive Sensing Receiver for Sparse Frequency Signal Detection Hamid Nejati and Mahmood Barangi 4/14/2010 Outline Introduction System level block diagram Compressive

More information

A 0.95mW/1.0Gbps Spiral-Inductor Based Wireless Chip-Interconnect with Asynchronous Communication Scheme

A 0.95mW/1.0Gbps Spiral-Inductor Based Wireless Chip-Interconnect with Asynchronous Communication Scheme A 0.95mW/1.0Gbps Spiral-Inductor Based Wireless Chip-Interconnect with Asynchronous Communication Scheme Mamoru Sasaki and Atsushi Iwata Graduate School, Hiroshima University Kagamiyama 1-4-1, Higashihiroshima-shi,

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

ECEN620: Network Theory Broadband Circuit Design Fall 2014

ECEN620: Network Theory Broadband Circuit Design Fall 2014 ECEN60: Network Theory Broadband Circuit Design Fall 014 Lecture 13: Frequency Synthesizer Examples Sam Palermo Analog & Mixed-Signal Center Texas A&M University Agenda Frequency Synthesizer Examples Design

More information

1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications

1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications 1P6M 0.18-µm Low Power CMOS Ring Oscillator for Radio Frequency Applications Ashish Raman and R. K. Sarin Abstract The monograph analysis a low power voltage controlled ring oscillator, implement using

More information

CMOS LNA Design for Ultra Wide Band - Review

CMOS LNA Design for Ultra Wide Band - Review International Journal of Innovation and Scientific Research ISSN 235-804 Vol. No. 2 Nov. 204, pp. 356-362 204 Innovative Space of Scientific Research Journals http://www.ijisr.issr-journals.org/ CMOS LNA

More information

NEW WIRELESS applications are emerging where

NEW WIRELESS applications are emerging where IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 4, APRIL 2004 709 A Multiply-by-3 Coupled-Ring Oscillator for Low-Power Frequency Synthesis Shwetabh Verma, Member, IEEE, Junfeng Xu, and Thomas H. Lee,

More information

print close Related Low-Cost UWB Source Low-Cost Mixers Build On LTCC Reliability LTCC Launches Miniature, Wideband, Low-Cost Mixers

print close Related Low-Cost UWB Source Low-Cost Mixers Build On LTCC Reliability LTCC Launches Miniature, Wideband, Low-Cost Mixers print close Design A Simple, Low-Cost UWB Source Microwaves and RF Yeap Yean Wei Fri, 2006-12-15 (All day) Using an inexpensive commercial step recovery diode (SRD) and a handful of passive circuit elements,

More information

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN

5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE a/b/g WLAN 5.5: A 3.2 to 4GHz, 0.25µm CMOS Frequency Synthesizer for IEEE 802.11a/b/g WLAN Manolis Terrovitis, Michael Mack, Kalwant Singh, and Masoud Zargari 1 Atheros Communications, Sunnyvale, California 1 Atheros

More information

Analyzing Pulse Position Modulation Time Hopping UWB in IEEE UWB Channel

Analyzing Pulse Position Modulation Time Hopping UWB in IEEE UWB Channel Analyzing Pulse Position Modulation Time Hopping UWB in IEEE UWB Channel Vikas Goyal 1, B.S. Dhaliwal 2 1 Dept. of Electronics & Communication Engineering, Guru Kashi University, Talwandi Sabo, Bathinda,

More information

DS-UWB signal generator for RAKE receiver with optimize selection of pulse width

DS-UWB signal generator for RAKE receiver with optimize selection of pulse width International Research Journal of Engineering and Technology (IRJET) e-issn: 2395-56 DS-UWB signal generator for RAKE receiver with optimize selection of pulse width Twinkle V. Doshi EC department, BIT,

More information

Elham Torabi Supervisor: Dr. Robert Schober

Elham Torabi Supervisor: Dr. Robert Schober Low-Rate Ultra-Wideband Low-Power for Wireless Personal Communication Area Networks Channel Models and Signaling Schemes Department of Electrical & Computer Engineering The University of British Columbia

More information

A Soft-Limiting Receiver Structure for Time-Hopping UWB in Multiple Access Interference

A Soft-Limiting Receiver Structure for Time-Hopping UWB in Multiple Access Interference 2006 IEEE Ninth International Symposium on Spread Spectrum Techniques and Applications A Soft-Limiting Receiver Structure for Time-Hopping UWB in Multiple Access Interference Norman C. Beaulieu, Fellow,

More information

UWB Hardware Issues, Trends, Challenges, and Successes

UWB Hardware Issues, Trends, Challenges, and Successes UWB Hardware Issues, Trends, Challenges, and Successes Larry Larson larson@ece.ucsd.edu Center for Wireless Communications 1 UWB Motivation Ultra-Wideband Large bandwidth (3.1GHz-1.6GHz) Power spectrum

More information

Low Power CMOS Re-programmable Pulse Generator for UWB Systems

Low Power CMOS Re-programmable Pulse Generator for UWB Systems Low Power CMOS Re-programmable Pulse Generator for UWB Systems Kevin Marsden 1, Hyung-Jin Lee 1, ong Sam Ha 1, and Hyung-Soo Lee 2 1 VTVT (Virginia Tech VLSI for Telecommunications) Lab epartment of Electrical

More information

DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION

DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION DESIGN OF A 500MHZ, 4-BIT LOW POWER ADC FOR UWB APPLICATION SANTOSH KUMAR PATNAIK 1, DR. SWAPNA BANERJEE 2 1,2 E & ECE Department, Indian Institute of Technology, Kharagpur, Kharagpur, India Abstract-This

More information

Jurianto Joe. IDA UWB Seminar Feb. 25, 2003

Jurianto Joe. IDA UWB Seminar Feb. 25, 2003 Cellonics UWB Signal Generation and Recovery Jurianto Joe IDA UWB Seminar Feb. 25, 2003 Outline Cellonics UWB method wo schools of thought in using 3.1-10.6 GHz band for UWB Cellonics and other UWB methods

More information

A Review of Phase Locked Loop Design Using VLSI Technology for Wireless Communication.

A Review of Phase Locked Loop Design Using VLSI Technology for Wireless Communication. A Review of Phase Locked Loop Design Using VLSI Technology for Wireless Communication. PG student, M.E. (VLSI and Embedded system) G.H.Raisoni College of Engineering and Management, A nagar Abstract: The

More information

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC

CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 138 CHAPTER 6 PHASE LOCKED LOOP ARCHITECTURE FOR ADC 6.1 INTRODUCTION The Clock generator is a circuit that produces the timing or the clock signal for the operation in sequential circuits. The circuit

More information

5.4: A 5GHz CMOS Transceiver for IEEE a Wireless LAN

5.4: A 5GHz CMOS Transceiver for IEEE a Wireless LAN 5.4: A 5GHz CMOS Transceiver for IEEE 802.11a Wireless LAN David Su, Masoud Zargari, Patrick Yue, Shahriar Rabii, David Weber, Brian Kaczynski, Srenik Mehta, Kalwant Singh, Sunetra Mendis, and Bruce Wooley

More information

Design of Wide Tuning Range and Low Power Dissipation of VCRO in 50nm CMOS Technology

Design of Wide Tuning Range and Low Power Dissipation of VCRO in 50nm CMOS Technology Design of Wide Tuning Range and Low Power Dissipation of VCRO in 50nm CMOS Technology Gagandeep Singh 1, Mandeep Singh Angurana 2 PG Student, Dept. Of Microelectronics, BMS College of Engineering, Sri

More information

Hot Topics and Cool Ideas in Scaled CMOS Analog Design

Hot Topics and Cool Ideas in Scaled CMOS Analog Design Engineering Insights 2006 Hot Topics and Cool Ideas in Scaled CMOS Analog Design C. Patrick Yue ECE, UCSB October 27, 2006 Slide 1 Our Research Focus High-speed analog and RF circuits Device modeling,

More information

THE BASIC BUILDING BLOCKS OF 1.8 GHZ PLL

THE BASIC BUILDING BLOCKS OF 1.8 GHZ PLL THE BASIC BUILDING BLOCKS OF 1.8 GHZ PLL IN CMOS TECHNOLOGY L. Majer, M. Tomáška,V. Stopjaková, V. Nagy, and P. Malošek Department of Microelectronics, Slovak Technical University, Ilkovičova 3, Bratislava,

More information

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver

SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver SP 22.3: A 12mW Wide Dynamic Range CMOS Front-End for a Portable GPS Receiver Arvin R. Shahani, Derek K. Shaeffer, Thomas H. Lee Stanford University, Stanford, CA At submicron channel lengths, CMOS is

More information

HIGH-GAIN CMOS LOW NOISE AMPLIFIER FOR ULTRA WIDE-BAND WIRELESS RECEIVER

HIGH-GAIN CMOS LOW NOISE AMPLIFIER FOR ULTRA WIDE-BAND WIRELESS RECEIVER Progress In Electromagnetics Research C, Vol. 7, 183 191, 2009 HIGH-GAIN CMOS LOW NOISE AMPLIFIER FOR ULTRA WIDE-BAND WIRELESS RECEIVER A. Dorafshan and M. Soleimani Electrical Engineering Department Iran

More information

A Differential K-Band UWB Transmitter for Short Range Radar Application with Continuous Running Local Oscillator

A Differential K-Band UWB Transmitter for Short Range Radar Application with Continuous Running Local Oscillator Progress In Electromagnetics Research C, Vol. 5, 1 9, 214 A Differential K-Band UWB Transmitter for Short Range Radar Application with Continuous Running Local Oscillator Kristian G. Kjelgård * and Tor

More information

SIGNAL PROCESSING FOR COMMUNICATIONS

SIGNAL PROCESSING FOR COMMUNICATIONS Introduction ME SIGNAL PROCESSING FOR COMMUNICATIONS Alle-Jan van der Veen and Geert Leus Delft University of Technology Dept. EEMCS Delft, The Netherlands 1 Topics Multiple-antenna processing Radio astronomy

More information

Signal Integrity Design of TSV-Based 3D IC

Signal Integrity Design of TSV-Based 3D IC Signal Integrity Design of TSV-Based 3D IC October 24, 21 Joungho Kim at KAIST joungho@ee.kaist.ac.kr http://tera.kaist.ac.kr 1 Contents 1) Driving Forces of TSV based 3D IC 2) Signal Integrity Issues

More information

ULTRA WIDE BAND(UWB) Embedded Systems Programming

ULTRA WIDE BAND(UWB) Embedded Systems Programming ULTRA WIDE BAND(UWB) Embedded Systems Programming N.Rushi (200601083) Bhargav U.L.N (200601240) OUTLINE : What is UWB? Why UWB? Definition of UWB. Architecture and Spectrum Distribution. UWB vstraditional

More information

Basic idea: divide spectrum into several 528 MHz bands.

Basic idea: divide spectrum into several 528 MHz bands. IEEE 802.15.3a Wireless Information Transmission System Lab. Institute of Communications Engineering g National Sun Yat-sen University Overview of Multi-band OFDM Basic idea: divide spectrum into several

More information

A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator*

A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator* WP 23.6 A 2.6GHz/5.2GHz CMOS Voltage-Controlled Oscillator* Christopher Lam, Behzad Razavi University of California, Los Angeles, CA New wireless local area network (WLAN) standards have recently emerged

More information

Design of a DS-UWB Transceiver

Design of a DS-UWB Transceiver Master Thesis IMIT/LECS/ [Year - 2005] Design of a DS-UWB Transceiver Master of Science Thesis In Electronic System Design by Saúl Rodríguez Dueñas Stockholm, March 2005 Supervisor: Examiner: Duo Xinzhong

More information

C th NATIONAL RADIO SCIENCE CONFERENCE (NRSC 2011) April 26 28, 2011, National Telecommunication Institute, Egypt

C th NATIONAL RADIO SCIENCE CONFERENCE (NRSC 2011) April 26 28, 2011, National Telecommunication Institute, Egypt New Trends Towards Speedy IR-UWB Techniques Marwa M.El-Gamal #1, Shawki Shaaban *2, Moustafa H. Aly #3, # College of Engineering and Technology, Arab Academy for Science & Technology & Maritime Transport

More information

Electronics Interview Questions

Electronics Interview Questions Electronics Interview Questions 1. What is Electronic? The study and use of electrical devices that operate by controlling the flow of electrons or other electrically charged particles. 2. What is communication?

More information

Nanoelectronics for Communication - A wider perspective -Use of Impulse based systems. Based on input from Lars Ohlsson och Mats Ärlelid

Nanoelectronics for Communication - A wider perspective -Use of Impulse based systems. Based on input from Lars Ohlsson och Mats Ärlelid Nanoelectronics for Communication - A wider perspective -Use of Impulse based systems Based on input from Lars Ohlsson och Mats Ärlelid Motivation HDMI Up to 10.2 Gbps Wifi 802.11n Up to 600 Mbps USB 3.0

More information

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University

High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University. Columbia University High-Performance Analog and RF Circuit Simulation using the Analog FastSPICE Platform at Columbia University By: K. Tripurari, C. W. Hsu, J. Kuppambatti, B. Vigraham, P.R. Kinget Columbia University For

More information

DESIGN OF 3 TO 5 GHz CMOS LOW NOISE AMPLIFIER FOR ULTRA-WIDEBAND (UWB) SYSTEM

DESIGN OF 3 TO 5 GHz CMOS LOW NOISE AMPLIFIER FOR ULTRA-WIDEBAND (UWB) SYSTEM Progress In Electromagnetics Research C, Vol. 9, 25 34, 2009 DESIGN OF 3 TO 5 GHz CMOS LOW NOISE AMPLIFIER FOR ULTRA-WIDEBAND (UWB) SYSTEM S.-K. Wong and F. Kung Faculty of Engineering Multimedia University

More information

Multiple Reference Clock Generator

Multiple Reference Clock Generator A White Paper Presented by IPextreme Multiple Reference Clock Generator Digitial IP for Clock Synthesis August 2007 IPextreme, Inc. This paper explains the concept behind the Multiple Reference Clock Generator

More information

/$ IEEE

/$ IEEE IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS II: EXPRESS BRIEFS, VOL. 53, NO. 11, NOVEMBER 2006 1205 A Low-Phase Noise, Anti-Harmonic Programmable DLL Frequency Multiplier With Period Error Compensation for

More information

A CMOS Impulse Radio Ultra-Wideband Transceiver for Inter/Intra-chip Wireless Interconnection

A CMOS Impulse Radio Ultra-Wideband Transceiver for Inter/Intra-chip Wireless Interconnection Journal of Emerging Trends in Engineering and Applied Sciences (JETEAS) 3(6): 929-933 Scholarlink Research Institute Journals, 2012 (ISSN: 2141-7016) jeteas.scholarlinkresearch.org Journal of Emerging

More information

A Low Power Integrated UWB Transceiver with Solar Energy Harvesting for Wireless Image Sensor Networks

A Low Power Integrated UWB Transceiver with Solar Energy Harvesting for Wireless Image Sensor Networks A Low Power Integrated UWB Transceiver with Solar Energy Harvesting for Wireless Image Sensor Networks Minjoo Yoo / Jaehyuk Choi / Ming hao Wang April. 13 th. 2009 Contents Introduction Circuit Description

More information

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS

1-13GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS -3GHz Wideband LNA utilizing a Transformer as a Compact Inter-stage Network in 65nm CMOS Hyohyun Nam and Jung-Dong Park a Division of Electronics and Electrical Engineering, Dongguk University, Seoul E-mail

More information

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3

A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati 1 B.K.Arun Teja 2 K.Sai Ravi Teja 3 IJSRD - International Journal for Scientific Research & Development Vol. 3, Issue 06, 2015 ISSN (online): 2321-0613 A CMOS Phase Locked Loop based PWM Generator using 90nm Technology Rajeev Pankaj Nelapati

More information

Short Range UWB Radio Systems. Finding the power/area limits of

Short Range UWB Radio Systems. Finding the power/area limits of Short Range UWB Radio Systems Finding the power/area limits of CMOS Bob Brodersen Ian O Donnell Mike Chen Stanley Wang Integrated Impulse Transceiver RF Front-End LNA Pulser Amp Analog CLK GEN PMF Digital

More information

5Gbps Serial Link Transmitter with Pre-emphasis

5Gbps Serial Link Transmitter with Pre-emphasis Gbps Serial Link Transmitter with Pre-emphasis Chih-Hsien Lin, Chung-Hong Wang and Shyh-Jye Jou Department of Electrical Engineering,National Central University,Chung-Li, Taiwan R.O.C. Abstract- High-speed

More information

Energy Efficient and High Speed Charge-Pump Phase Locked Loop

Energy Efficient and High Speed Charge-Pump Phase Locked Loop Energy Efficient and High Speed Charge-Pump Phase Locked Loop Sherin Mary Enosh M.Tech Student, Dept of Electronics and Communication, St. Joseph's College of Engineering and Technology, Palai, India.

More information

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS

DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS DESIGN OF MULTIPLYING DELAY LOCKED LOOP FOR DIFFERENT MULTIPLYING FACTORS Aman Chaudhary, Md. Imtiyaz Chowdhary, Rajib Kar Department of Electronics and Communication Engg. National Institute of Technology,

More information

I.E.S-(Conv.)-2007 ELECTRONICS AND TELECOMMUNICATION ENGINEERING PAPER - II Time Allowed: 3 hours Maximum Marks : 200 Candidates should attempt Question No. 1 which is compulsory and FOUR more questions

More information

Electronic Circuits EE359A

Electronic Circuits EE359A Electronic Circuits EE359A Bruce McNair B206 bmcnair@stevens.edu 201-216-5549 1 Memory and Advanced Digital Circuits - 2 Chapter 11 2 Figure 11.1 (a) Basic latch. (b) The latch with the feedback loop opened.

More information

DS H01 DIGITAL SYNTHESIZER MODULE SYSTEM SOLUTIONS. Features Applications 174 x 131 x 54 mm. Technical Description

DS H01 DIGITAL SYNTHESIZER MODULE SYSTEM SOLUTIONS. Features Applications 174 x 131 x 54 mm. Technical Description DS H01 The DS H01 is a high performance dual digital synthesizer with wide output bandwidth specially designed for Defense applications where generation of wideband ultra-low noise signals along with very

More information

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1

Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 Lecture 160 Examples of CDR Circuits in CMOS (09/04/03) Page 160-1 LECTURE 160 CDR EXAMPLES INTRODUCTION Objective The objective of this presentation is: 1.) Show two examples of clock and data recovery

More information

A Low Phase Noise LC VCO for 6GHz

A Low Phase Noise LC VCO for 6GHz A Low Phase Noise LC VCO for 6GHz Mostafa Yargholi 1, Abbas Nasri 2 Department of Electrical Engineering, University of Zanjan, Zanjan, Iran 1 yargholi@znu.ac.ir, 2 abbas.nasri@znu.ac.ir, Abstract: This

More information

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN

CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN 93 CHAPTER 4 ULTRA WIDE BAND LOW NOISE AMPLIFIER DESIGN 4.1 INTRODUCTION Ultra Wide Band (UWB) system is capable of transmitting data over a wide spectrum of frequency bands with low power and high data

More information

Design and Implementation of Impulse Radio Ultra-Wideband Transmitter

Design and Implementation of Impulse Radio Ultra-Wideband Transmitter Proceedings of the 10 th ICEENG Conference, 19-21 April, 2016 EE000-1 Military Technical College Kobry El-Kobbah, Cairo, Egypt 10 th International Conference on Electrical Engineering ICEENG 2016 Design

More information

A Novel Sine Wave Based UWB Pulse Generator Design for Single/Multi-User Systems

A Novel Sine Wave Based UWB Pulse Generator Design for Single/Multi-User Systems Research Journal of Applied Sciences, Engineering and Technology 4(23): 5243-5247, 2012 ISSN: 2040-7467 Maxwell Scientific Organization, 2012 Submitted: May 04, 2012 Accepted: May 22, 2012 Published: December

More information

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2

ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 ISSCC 2003 / SESSION 20 / WIRELESS LOCAL AREA NETWORKING / PAPER 20.2 20.2 A Digitally Calibrated 5.15-5.825GHz Transceiver for 802.11a Wireless LANs in 0.18µm CMOS I. Bouras 1, S. Bouras 1, T. Georgantas

More information

MODULATION AND MULTIPLE ACCESS TECHNIQUES

MODULATION AND MULTIPLE ACCESS TECHNIQUES 1 MODULATION AND MULTIPLE ACCESS TECHNIQUES Networks and Communication Department Dr. Marwah Ahmed Outlines 2 Introduction Digital Transmission Digital Modulation Digital Transmission of Analog Signal

More information

Layout Design of LC VCO with Current Mirror Using 0.18 µm Technology

Layout Design of LC VCO with Current Mirror Using 0.18 µm Technology Wireless Engineering and Technology, 2011, 2, 102106 doi:10.4236/wet.2011.22014 Published Online April 2011 (http://www.scirp.org/journal/wet) 99 Layout Design of LC VCO with Current Mirror Using 0.18

More information

Multiple Access Techniques for Wireless Communications

Multiple Access Techniques for Wireless Communications Multiple Access Techniques for Wireless Communications Contents 1. Frequency Division Multiple Access (FDMA) 2. Time Division Multiple Access (TDMA) 3. Code Division Multiple Access (CDMA) 4. Space Division

More information

A 24Gb/s Software Programmable Multi-Channel Transmitter

A 24Gb/s Software Programmable Multi-Channel Transmitter A 24Gb/s Software Programmable Multi-Channel Transmitter A. Amirkhany 1, A. Abbasfar 2, J. Savoj 2, M. Jeeradit 2, B. Garlepp 2, V. Stojanovic 2,3, M. Horowitz 1,2 1 Stanford University 2 Rambus Inc 3

More information

A Low Noise, Voltage Control Ring Oscillator Based on Pass Transistor Delay Cell

A Low Noise, Voltage Control Ring Oscillator Based on Pass Transistor Delay Cell A Low Noise, Voltage Control Ring Oscillator Based on Pass Transistor Delay Cell Devi Singh Baghel 1, R.C. Gurjar 2 M.Tech Student, Department of Electronics and Instrumentation, Shri G.S. Institute of

More information

Radio-Frequency Conversion and Synthesis (for a 115mW GPS Receiver)

Radio-Frequency Conversion and Synthesis (for a 115mW GPS Receiver) Radio-Frequency Conversion and Synthesis (for a 115mW GPS Receiver) Arvin Shahani Stanford University Overview GPS Overview Frequency Conversion Frequency Synthesis Conclusion GPS Overview: Signal Structure

More information

i. At the start-up of oscillation there is an excess negative resistance (-R)

i. At the start-up of oscillation there is an excess negative resistance (-R) OSCILLATORS Andrew Dearn * Introduction The designers of monolithic or integrated oscillators usually have the available process dictated to them by overall system requirements such as frequency of operation

More information

CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER

CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER 59 CHAPTER IV DESIGN AND ANALYSIS OF VARIOUS PWM TECHNIQUES FOR BUCK BOOST CONVERTER 4.1 Conventional Method A buck-boost converter circuit is a combination of the buck converter topology and a boost converter

More information

Multiplexing Module W.tra.2

Multiplexing Module W.tra.2 Multiplexing Module W.tra.2 Dr.M.Y.Wu@CSE Shanghai Jiaotong University Shanghai, China Dr.W.Shu@ECE University of New Mexico Albuquerque, NM, USA 1 Multiplexing W.tra.2-2 Multiplexing shared medium at

More information

SEQUENTIAL NULL WAVE Robert E. Green Patent Pending

SEQUENTIAL NULL WAVE Robert E. Green Patent Pending SEQUENTIAL NULL WAVE BACKGROUND OF THE INVENTION [0010] Field of the invention [0020] The area of this invention is in communication and wave transfer of energy [0030] Description of the Prior Art [0040]

More information

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector

EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector EE584 Introduction to VLSI Design Final Project Document Group 9 Ring Oscillator with Frequency selector Group Members Uttam Kumar Boda Rajesh Tenukuntla Mohammad M Iftakhar Srikanth Yanamanagandla 1 Table

More information

Keywords: ISM, RF, transmitter, short-range, RFIC, switching power amplifier, ETSI

Keywords: ISM, RF, transmitter, short-range, RFIC, switching power amplifier, ETSI Maxim > Design Support > Technical Documents > Application Notes > Wireless and RF > APP 4929 Keywords: ISM, RF, transmitter, short-range, RFIC, switching power amplifier, ETSI APPLICATION NOTE 4929 Adapting

More information

Research Article A Novel Subnanosecond Monocycle Pulse Generator for UWB Radar Applications

Research Article A Novel Subnanosecond Monocycle Pulse Generator for UWB Radar Applications Sensors, Article ID 5059, pages http://dx.doi.org/0.55/0/5059 Research Article A Novel Subnanosecond Monocycle Pulse Generator for UWB Radar Applications Xinfan Xia,, Lihua Liu, Shengbo Ye,, Hongfei Guan,

More information

Exercise 1: RF Stage, Mixer, and IF Filter

Exercise 1: RF Stage, Mixer, and IF Filter SSB Reception Analog Communications Exercise 1: RF Stage, Mixer, and IF Filter EXERCISE OBJECTIVE DISCUSSION On the circuit board, you will set up the SSB transmitter to transmit a 1000 khz SSB signal

More information

Timing Noise Measurement of High-Repetition-Rate Optical Pulses

Timing Noise Measurement of High-Repetition-Rate Optical Pulses 564 Timing Noise Measurement of High-Repetition-Rate Optical Pulses Hidemi Tsuchida National Institute of Advanced Industrial Science and Technology 1-1-1 Umezono, Tsukuba, 305-8568 JAPAN Tel: 81-29-861-5342;

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Lecture 7: Components of Phase Locked Loop (PLL)

Lecture 7: Components of Phase Locked Loop (PLL) Lecture 7: Components of Phase Locked Loop (PLL) CSCE 6933/5933 Instructor: Saraju P. Mohanty, Ph. D. NOTE: The figures, text etc included in slides are borrowed from various books, websites, authors pages,

More information

Overview and Challenges

Overview and Challenges RF/RF-SoC Overview and Challenges Fang Chen May 14, 2004 1 Content What is RF Research Topics in RF RF IC Design/Verification RF IC System Design Circuit Implementation What is RF-SoC Design Methodology

More information

Ultra Wideband Transceiver Design

Ultra Wideband Transceiver Design Ultra Wideband Transceiver Design By: Wafula Wanjala George For: Bachelor Of Science In Electrical & Electronic Engineering University Of Nairobi SUPERVISOR: Dr. Vitalice Oduol EXAMINER: Dr. M.K. Gakuru

More information

On Pulse Position Modulation and Its Application to PLLs for Spur Reduction Chembiyan Thambidurai and Nagendra Krishnapura

On Pulse Position Modulation and Its Application to PLLs for Spur Reduction Chembiyan Thambidurai and Nagendra Krishnapura IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 58, NO. 7, JULY 2011 1483 On Pulse Position Modulation and Its Application to PLLs for Spur Reduction Chembiyan Thambidurai and Nagendra

More information

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition

Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition Analysis and Design of a 1GHz PLL for Fast Phase and Frequency Acquisition P. K. Rout, B. P. Panda, D. P. Acharya and G. Panda 1 Department of Electronics and Communication Engineering, School of Electrical

More information

A single-slope 80MS/s ADC using two-step time-to-digital conversion

A single-slope 80MS/s ADC using two-step time-to-digital conversion A single-slope 80MS/s ADC using two-step time-to-digital conversion The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

ECE 6770 FINAL PROJECT

ECE 6770 FINAL PROJECT ECE 6770 FINAL PROJECT POINT TO POINT COMMUNICATION SYSTEM Submitted By: Omkar Iyer (Omkar_iyer82@yahoo.com) Vamsi K. Mudarapu (m_vamsi_krishna@yahoo.com) MOTIVATION Often in the real world we have situations

More information

ALTHOUGH zero-if and low-if architectures have been

ALTHOUGH zero-if and low-if architectures have been IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 6, JUNE 2005 1249 A 110-MHz 84-dB CMOS Programmable Gain Amplifier With Integrated RSSI Function Chun-Pang Wu and Hen-Wai Tsao Abstract This paper describes

More information

D f ref. Low V dd (~ 1.8V) f in = D f ref

D f ref. Low V dd (~ 1.8V) f in = D f ref A 5.3 GHz Programmable Divider for HiPerLAN in 0.25µm CMOS N. Krishnapura 1 & P. Kinget 2 Lucent Technologies, Bell Laboratories, USA. 1 Currently at Columbia University, New York, NY, 10027, USA. 2 Currently

More information

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop

Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Design of an Efficient Phase Frequency Detector for a Digital Phase Locked Loop Shaik. Yezazul Nishath School Of Electronics Engineering (SENSE) VIT University Chennai, India Abstract This paper outlines

More information

Pulse-Based Ultra-Wideband Transmitters for Digital Communication

Pulse-Based Ultra-Wideband Transmitters for Digital Communication Pulse-Based Ultra-Wideband Transmitters for Digital Communication Ph.D. Thesis Defense David Wentzloff Thesis Committee: Prof. Anantha Chandrakasan (Advisor) Prof. Joel Dawson Prof. Charles Sodini Ultra-Wideband

More information

DEPARTMENT OF COMPUTER GCE@Bodi_ SCIENCE GCE@Bodi_ AND ENIGNEERING GCE@Bodi_ GCE@Bodi_ GCE@Bodi_ Analog and Digital Communication GCE@Bodi_ DEPARTMENT OF CsE Subject Name: Analog and Digital Communication

More information

Project: IEEE P Working Group for Wireless Personal Area Networks (WPANS)

Project: IEEE P Working Group for Wireless Personal Area Networks (WPANS) Project: IEEE P802.15 Working Group for Wireless Personal Area Networks (WPANS) Title: [General Atomics Call For Proposals Presentation] Date Submitted: [4 ] Source: Naiel Askar, Susan Lin, General Atomics-

More information

Chapter 2 Direct-Sequence Systems

Chapter 2 Direct-Sequence Systems Chapter 2 Direct-Sequence Systems A spread-spectrum signal is one with an extra modulation that expands the signal bandwidth greatly beyond what is required by the underlying coded-data modulation. Spread-spectrum

More information

MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator

MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator MP 4.3 Monolithic CMOS Distributed Amplifier and Oscillator Bendik Kleveland, Carlos H. Diaz 1 *, Dieter Vook 1, Liam Madden 2, Thomas H. Lee, S. Simon Wong Stanford University, Stanford, CA 1 Hewlett-Packard

More information

Design of Single to Differential Amplifier using 180 nm CMOS Process

Design of Single to Differential Amplifier using 180 nm CMOS Process Design of Single to Differential Amplifier using 180 nm CMOS Process Bhoomi Patel 1, Amee Mankad 2 P.G. Student, Department of Electronics and Communication Engineering, Shantilal Shah Engineering College,

More information

Lecture 9: Spread Spectrum Modulation Techniques

Lecture 9: Spread Spectrum Modulation Techniques Lecture 9: Spread Spectrum Modulation Techniques Spread spectrum (SS) modulation techniques employ a transmission bandwidth which is several orders of magnitude greater than the minimum required bandwidth

More information

Ground-Adjustable Inductor for Wide-Tuning VCO Design Wu-Shiung Feng, Chin-I Yeh, Ho-Hsin Li, and Cheng-Ming Tsao

Ground-Adjustable Inductor for Wide-Tuning VCO Design Wu-Shiung Feng, Chin-I Yeh, Ho-Hsin Li, and Cheng-Ming Tsao Applied Mechanics and Materials Online: 2012-12-13 ISSN: 1662-7482, Vols. 256-259, pp 2373-2378 doi:10.4028/www.scientific.net/amm.256-259.2373 2013 Trans Tech Publications, Switzerland Ground-Adjustable

More information

Hong Kong University of Science and Technology. A 2-V 900-MHz Monolithic CMOS Dual-Loop Frequency Synthesizer for GSM Receivers

Hong Kong University of Science and Technology. A 2-V 900-MHz Monolithic CMOS Dual-Loop Frequency Synthesizer for GSM Receivers Hong Kong University of Science and Technology A -V 900-MHz Monolithic CMOS Dual-Loop Frequency Synthesizer for GSM Receivers A thesis submitted to The Hong Kong University of Science and Technology in

More information

SC5407A/SC5408A 100 khz to 6 GHz RF Upconverter. Datasheet. Rev SignalCore, Inc.

SC5407A/SC5408A 100 khz to 6 GHz RF Upconverter. Datasheet. Rev SignalCore, Inc. SC5407A/SC5408A 100 khz to 6 GHz RF Upconverter Datasheet Rev 1.2 2017 SignalCore, Inc. support@signalcore.com P R O D U C T S P E C I F I C A T I O N S Definition of Terms The following terms are used

More information

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June ISSN

International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June ISSN International Journal of Scientific & Engineering Research, Volume 4, Issue 6, June-2013 1 Design of Low Phase Noise Ring VCO in 45NM Technology Pankaj A. Manekar, Prof. Rajesh H. Talwekar Abstract: -

More information

RF Basics 15/11/2013

RF Basics 15/11/2013 27 RF Basics 15/11/2013 Basic Terminology 1/2 dbm is a measure of RF Power referred to 1 mw (0 dbm) 10mW(10dBm), 500 mw (27dBm) PER Packet Error Rate [%] percentage of the packets not successfully received

More information

Performance of Impulse-Train-Modulated Ultra- Wideband Systems

Performance of Impulse-Train-Modulated Ultra- Wideband Systems University of Wollongong Research Online Faculty of Infmatics - Papers (Archive) Faculty of Engineering and Infmation Sciences 2006 Perfmance of Impulse-Train-Modulated Ultra- Wideband Systems Xiaojing

More information

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application

20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband Radio Jamming Application J Electr Eng Technol Vol. 9, No.?: 742-?, 2014 http://dx.doi.org/10.5370/jeet.2014.9.?.742 ISSN(Print) 1975-0102 ISSN(Online) 2093-7423 20 MHz-3 GHz Programmable Chirp Spread Spectrum Generator for a Wideband

More information

Long Range Passive RF-ID Tag With UWB Transmitter

Long Range Passive RF-ID Tag With UWB Transmitter Long Range Passive RF-ID Tag With UWB Transmitter Seunghyun Lee Seunghyun Oh Yonghyun Shim seansl@umich.edu austeban@umich.edu yhshim@umich.edu About RF-ID Tag What is a RF-ID Tag? An object for the identification

More information