Power-Performance Study of Block-Level Monolithic 3D-ICs Considering Inter-Tier Performance Variations

Size: px
Start display at page:

Download "Power-Performance Study of Block-Level Monolithic 3D-ICs Considering Inter-Tier Performance Variations"

Transcription

1 Power-Performance Study of Block-Level Monolithic 3D-ICs Considering Inter- Performance Variations Shreepad Panth, Kambiz Samadi, Yang Du, and Sung Kyu Lim School of ECE, Georgia Institute of Technology, Atlanta, GA Qualcomm Research, San Diego, CA ABSTRACT In this paper we study the power vs. performance tradeoff in blocklevelmonolithic3dicdesigns. Ourstudyshowsthatwecanclose the power-performance gap between 2D and a theoretical lower bound by up to 50%. We model the inter-tier performance variations caused by a low temperature manufacturing process on the non-bottom tiers. We also model an alternate manufacturing process, where highly resistive tungsten interconnects are used on the bottom tier to withstand a high temperature process on the nonbottom tiers. We propose a variation-aware floorplanning technique that makes our design more tolerant to these variations. We demonstrate that our design methods can help us obtain high quality designs even under inter-tier performance variations. Categories and Subject Descriptors B.8.2 [Performance and Reliability]: Performance Analysis and Design Aids Keywords Monolithic 3D; Block-level; Inter-tier variation 1. INTRODUCTION Three dimensional integrated circuits (3D ICs) have emerged as a promising solution to extend the 2D scaling trajectory predicted by Moore s Law. Currently, through-silicon vias (TSVs) enable 3D ICs, allowing vertical stacking of multiple dies fabricated separately. An emerging alternative is monolithic 3D that enables orders of magnitude higher integration density due to the extremely small size of the monolithic inter-tier vias (MIVs). In monolithic 3D integration technology, one fabricates two or more tiers of devices sequentially, instead of bonding pre-fabricated dies. This eliminates the need for die alignment, enabling smaller via sizes. Overall, monolithic 3D ICs offer several advantages over traditional 3D ICs: (1) the small size of MIVs enables ultra-high integration density, considerably reducing silicon area and cost,(2) the This work is supported by Qualcomm Research. Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from Permissions@acm.org. DAC 14, June , San Francisco, CA, USA. Copyright 2014 ACM /14/06... $ Metallization Gate Bulk handle Thin Si Layer BOX H + ion Thermal oxide Empty wafer (a) (b) (c) ILD MIV (d) (e) (f) Figure 1: The fabrication process of monolithic 3D ICs [1]. (a) The bottom tier is created the same way as 2D-ICs. (b,c,d) Attachmentofthinlayerofsilicontothetopofthebottomtier. (e) FEOL of top tier and creation of MIVs and top-tier contacts, and (f) BEOL processing of top-tier. significantly reduced MIV parasitics help improve the power performance envelope, and (3) the manufacturing process is entirely foundry-driven, and does not involve a packaging house for the processing of backside redistribution layers and micro-bumps. This enables tighter process control, potentially leading to a faster rampup once the technology is mature. The fabrication process for monolithic 3D ICs is shown in Figure 1 [1]. First, the bottom-tier is fabricated similar to a conventional 2D-IC (Figure 1(a)). Next, a thermal oxide is grown on an emptywafer,andh + ionsareimplantedjustbelowthesiliconsurface at a constant depth (Figure 1(b)). This empty wafer is then flipped and bonded to the top of the bottom tier using low temperature molecular bonding (Figure 1(c)). The silicon is then sheared offattheh + ionlineandpolishedtogiveahighqualitytopsilicon layer (Figure 1(d)). The gates are formed on the top tier, and the MIVsarecreatedwiththecontactmaskofthetoptier(Figure1(e)). Finally, the metallization of the top tier is created as usual (Figure 1(f)). The two device tiers are connected by extremely small inter-tier vias (< 100nm diameter) [1]. Prior works on monolithic 3D ICs include (a) transistor-level logic designs [2, 4], where transistors in individual gates are split into multiple tiers, and (b) block-level designs [6], where 2D functional blocks are floorplanned on to multiple tiers and connected using MIVs. However, all of these prior works assume that both

2 Table 1: The change in resistivity values of different metal layers in the Nangate 45nm library due to Tungsten interconnects. Layer Width(nm) Thickness(nm) ρ(w)/ ρ(cu) Metal1 - Metal Metal4 - Metal Metal7 - Metal Metal9 - Metal thetopandbottomtierhaveequalperformance,whichcanonlybe achieved once the technology is mature. Due to process limitations outlined in Section 2, monolithic 3D ICs will have either degraded transistors in the top tier or degraded interconnects in the bottom tier. There has been no prior work on quantifying which option gives a better power-performance envelope, and this paper aims to resolve this question. In order to do this, we implement several block-level designs, and compare the power-performance envelope of 2D-ICs with monolithic 3D ICs under inter-tier process variation in either devices or interconnects. The contributions of this work are as follows: (1) We first evaluate the power-performance envelope of monolithic 3D ICs assuming a mature process, and show that it closes the gap between 2D and the ideal block-level implementation by up to 50%. (2) We present a methodology to evaluate the powerperformance envelope of a monolithic 3D IC under inter-tier variations. (3) We present an inter-tier variation-aware floorplanning scheme that improves the power and performance by up to 10.6% and 12.6% respectively, and (4) We demonstrate that degraded interconnects are preferable to degraded transistors, and that we can still close the gap to the ideal block level implementation by up to 50% w.r.t. performance and 36% w.r.t. power. 2. INTER-TIER VARIATION Monolithic 3D ICs differ from TSV-based 3D ICs in that tiers are fabricated sequentially. The devices and interconnects of the top tier are fabricated on top of an already existing front end-ofline (FEOL) and back end-of-line (BEOL). During the processing of the top tier, care must be taken to prevent damage to the devices and interconnects of the bottom tier. To prevent damage to the devices of the bottom tier, a low temperaturetransistorprocessiskeyonthetoptier. Ithasbeendemonstrated [10] that transistors can be fabricated at temperatures down to 625 C without any loss of performance. While this is sufficient to prevent damage to the underlying devices, this temperature is stilltoohightopreventdamagetothecopperbeol.thisproblem can be avoided by using tungsten as the interconnect material on the bottom tier [1]. Tungsten has a bulk resistivity 3.1 that of copper, and this is expected to slow down the system performance. However, the resistivity of an interconnect is size dependent, and it increases with smaller dimensions due to grain boundary and sidewall scattering. Lopez [5] provided a general curve-fit equation to take these effects into account, and several measurement-based studies have extracted the various curve fitting parameters for both copper and tungsten [7, 3, 9]. Using these equations, the change in the interconnect resistivity for the Nangate 45nm library is tabulated in Table 1 Since size effects play a greater role in narrower metal lines, we observe that the local metal lines degrade less than the global metal lines. We use these values and modify the interconnect technology file(.ict), and use Cadence QRC Techgen to re-characterize the interconnect extraction libraries. If, however, we wish to use copper on the bottom tier, laserscan anneal has been proposed for the dopant activation on the top Table 2: Minimum size (X1) standard cell average delay (in ps), assuming worst loading, at different corners. Numbers in brackets are normalized to the respective TT implementation. Std. Cell TT TTm10p TTm20p TT_W NAND (1.00) 243.9(1.10) 265.2(1.19) (1.00) AOI (1.00) 173.8(1.12) 192.9(1.25) (1.00) XOR (1.00) 187.6(1.14) (1.28) (1.00) DFF Clk-Q 213.1(1.00) 243.8(1.14) 277.7(1.30) (1.00) DFF Setup 40.29(1.00) 50.95(1.26) 58.11(1.44) 43.86(1.08) tier. This method only results in localized heating, thereby preventing any damage to the devices and interconnects on the bottom tier. However, this process results in degraded transistors, and the PMOS and NMOS performance degrade by 27.8% and 16.2% respectively [8]. We refer to these degraded transistors as the TTm20p corner, as on average, the performance is worse by roughly 20%. However, this work was from six years ago, and improvements in the process are bound to be made. We define another corner TTm10p, which has a PMOS and NMOS degradation of 13.9% and 8.1% respectively, which is exactly half of the TTm20p corner. This is meant to represent progress on the fabrication front. We modify the transistor parameters in the spice models to represent these corners and use Encounter Library Characterizer to obtain the new standard cell libraries. We tabulate the resulting performance of select standard cells at maximum loading in Table 2. In addition to re-characterization at different transistor corners, tungsten interconnects also increase the internal parasitics of standard cells. We also re-characterize the standard cells under this condition, and name this corner TT_W. From this table, we observe that the cell delays for simple gates such as NAND roughly follow the average of NMOS and PMOS degradation, while complex gates are more or less dominated by PMOS degradation. In addition, we see that the setup time for the flip-flops degrade at a much higher rate than either NMOS/PMOS. Tungsten interconnects only have a minimum impact on the gate performance, as the wires within the standard cells are very small, and theresistance isdominated byther ON of the transistor. In summary, we have two choices: (1) Use tungsten on the bottom tier and deal with degraded interconnects and slightly worse standard cells, or (2) Use copper on the bottom tier and deal with significantly degraded standard cells on the top tier. In this paper, we study both options and compare and contrast them. 3. DESIGN FLOW This section presents our RTL-to-GDSII design flow used in this paper. AnoverviewoftheflowisshowninFigure2. Inthisfigure, orange boxes indicate 3D specific steps. Once the design is synthesized, it is sent to our floorplanner(described in subsection 3.1), which gives us the outlines of all the blocks in the 3D space. Next, we perform MIV planning (described in subsection 3.2) to determine all the MIV locations. With these locations, each block and tier is placed and routed (P&R) separately in Cadence Encounter. At this stage, we dump wire-load models and go back to synthesis to get a better result. Once the P&R is complete again, we proceed to 3D timing and power analysis (described in subsection 3.3). 3.1 Floorplanning In this paper, we use a sequence-pair based simulated annealing floorplanner. We maintain one sequence pair per tier, representing the entire 3D space [6]. We assume all blocks to be soft, and place and route them only after their outlines have been determined. Our floorplanner is timing driven, and we achieve this by weighting each inter-block net by the longest path delay through it.

3 Figure 2: The block-level RTL-to-GDSII design flow used in this paper. Orange indicates 3D specific steps. Given an initial random solution, we perform the following kinds of solution perturbations: (1) In the sequence pair of a given tier, swap two blocks in the positive sequence or negative sequence or both sequences, (2) Change the aspect ratio of a particular block, and (3) Move a block from one tier to another, or swap two blocks between tiers. With this framework, the floorplanner can or cannot be inter-tier variation-aware. If we assume that both tiers have identical performance, the cost function for the floorplanner is the weighted sum of wirelength and footprint area. This is in contrast to TSV-based 3D floorplanners, whichalsotrytominimizetheviacount. ThisisbecauseMIVsare sosmallthat theyneed not beminimized Variation-Aware Floorplanner In most designs, not every block is timing critical. The nontiming critical blocks can in theory operate faster, but they are synthesized at the frequency of the critical block to save area and power. Therefore, even with degraded transistors, these blocks can be synthesized to operate at the frequency of the critical block, albeitwithalargerarea. Aslongasthecriticalblocksdonotoperate with slower transistors or interconnects, the chip can still meet timing. We utilize this in our variation-aware floorplanner. An overview of our inter-tier variation-aware floorplanner is shown in Figure 3. First, given the block RTL and timing constraints, we synthesize four different versions of each block: One for the nominal corner, and one for each of the degraded libraries. In the case of tungsten interconnects, we also modify the resistivity of the wire load models to accurately drive synthesis. For each version of the block, we take note of the area and longest path delay (LPD) through it. Given that the design has inter-tier variations, each block will have a different area and LPD depending on the tier in which it lies. If LPD(b i) is the tier-dependant longest path delay of a block b i,we definethe modified costfunctionof the floorplanner as: N Block Cost V A = α.wl+β.area+γ LPD(b i) (1) In the above equation, WL refers to the wirelength. The area of a blockisalsodependentonitstier. Therefore,whenevera3Dmove is made, we update the area of all the blocks that have changed their tier. The third term in the above equation will try to place the timing critical blocks in the faster tier, and push the non-timing critical blocks to the slower tier. 3.2 MIV Planning The output of the floorplanner are block outlines in a 3D space. Once we have these, we need to insert MIVs into the design. Al- i=1 Figure 3: Our inter-tier variation-aware floorplanner. Estimated Block Pin Locations Block Initial MIV Locations (with overlap) New MIV Locations (without overlap) (a) (b) Figure 4: Our MIV planning methodology(a) Initial estimated MIV locations (b) After one iteration of MIV planning. though MIVs are extremely small, they still need to be inserted in the whitespace in between the blocks. The authors of [6] provide a methodology to determine MIV locations by tricking a 2D router to do 3D routing. However, this method assumes hard blocks, where the block pin locations are pre-determined. In the case of soft blocks, the block pin locations are determined only after floorplanning is finished. However, in monolithic 3D ICs, these block-pin locations depend on the MIV locations as well. This is a chicken and an egg problem, and we present an iterative method to determine boththe MIVandthe blockpin locations. Given the block outlines, we first assume that all the pins of a block are in its center. For each 3D net, the optimal MIV location can then roughly be given as the center of its 3D bounding box. However, this approach will lead to overlap between blocks and MIVs, as well as between MIVs themselves(shown in Figure 4(a)). Given these initial MIV locations, we create verilog and DEF files foreachtier. WethenopeneachtierinCadenceEncounter,anduse its partitioner to give us block pin locations based on the estimated MIVlocations(alsoshowninFigure4(a)). We usetheseblockpin locations to run the MIV planning algorithm again to determine the new MIV locations (shown in Figure 4(b)). This entire process can be repeated until the MIV locations stabilize. In practice, we observethatonlyoneortwoiterationsarerequired. OncetheMIV locations are finalized, each block and tier can be placed and routed separately in Cadence Encounter D Timing and Power Analysis Once we have the placed and routed netlists of all the blocks and tiers, we load them into Synopsys PrimeTime. For each cell, dependingonthetierinwhichitlies,wepicktheappropriatestan-

4 Power (mw) D D_TT +3.7% Ideal +15.5% 1.20V +1.7% +5.8% % % 1.15V +7.3% % % -28.4% 1.10V % V V (a) des3 (b) b19 (c) mul128 Figure 5: Power-performance trade-off curves assuming that both the tiers have identical transistors and interconnects. Table 3: Benchmarks used in our evaluation. Benchmark #Blocks #Gates #Inter-Block Nets des ,194 6,138 b ,852 14,223 mul ,867 12,447 Table 4: Basic floorplan comparisons assuming both tiers have same performance. Numbers in brackets are normalized to the respective 2D implementation. #Gates Footprint Total # MIV Ckt. Flavor ( 10 3 ) (mm 2 ) WL (m) ( 10 3 ) 2D 68.9(1.00) 0.328(1.00) 1.514(1.00) - des3 3D 66.2(0.96) 0.156(0.48) 1.287(0.85) 3.75 Ideal 64.4(0.94) (0.62) - 2D 82.3(1.00) 0.398(1.00) 3.341(1.00) - b19 3D 80.62(0.98) 0.204(0.51) 2.847(0.85) Ideal 79.35(0.96) (0.55) - 2D 251(1.00) 1.096(1.00) 4.693(1.00) - mul128 3D 245(0.97) 0.550(0.50) 4.447(0.95) Ideal 235(0.93) (0.70) - dard cell library. We also modify our extraction tech file for each block and tier depending on the interconnect material. These parasitics are also loaded into Synopsys PrimeTime. We also create a top-level netlist and parasitic file to represent the MIV connectivity and parasitics. According to [1], if the inter-tier oxide thickness is greater than or equal to 100nm, there is negligible inter-tier coupling. Therefore, we ignore any such coupling in this paper. Once all the netlists and parasitics are loaded, we perform 3D timing analysis, and statistical power analysis. 4. POWER-PERFORMANCE STUDY We pick one benchmark from the OpenCores benchmark suite (des3), one from the IWLS benchmark suite (b19), and design one custom 128-bit integer multiplier, and tabulate their statistics in Table 3. They are implemented in the Nangate 45nm library, and the cell counts shown are the synthesis results without any wire load models. In all our 3D implementations, the diameter of an MIV is assumed to be 100nm, with a resistance of 2Ω and a capacitance of 0.1fF [4]. 4.1 Identical Performance on Both s In this section, we assume that both tiers have identical transistors and interconnects. This represents an ideal manufacturing process, and represents the best possible case for monolithic 3D. As described in Section 3, we first perform initial floorplanning and use this to derive wire load models for each benchmark Once we have the wire-load models, we perform floorplanning again, and tabulate basic floorplan comparisons for 2D and 3D in Table 4. In addition to these two flavors, we define an ideal block-level implementation. This implementation is obtained by assuming that all the inter-block nets have zero length and parasitics. During the block implementation, we set the output load of the blocks to be zeroandtheinputstobedrivenbyidealdrivers. Thisisthetheoretical lower bound on any block-level implementation of this design, given the same set of blocks, and the constraint that each block is implemented in 2D. From this table, we observe that monolithic 3D leads to significantly shorter wirelength. Although the inter-block wirelength is always significantly reduced, the total wirelength reduction depends on the intra-block wirelength as well. Benchmarks such as mul128 have most of the wirelength within the block, so there is not much total wirelength reduction. In addition, we observe that the shorter wires leads to fewer gates being required. Next, we study the power-performance trade-off of the three different implementations. In order to get the numbers for the ideal implementation, we force the parasitics of all inter-block nets to be zero in Synopsys PrimeTime. In addition to the nominal V DD of 1.1V, we characterize the standard cell libraries at four additionalv DD valuescovering±10%ofnominalv DD (1.00V,1.05V, 1.10V, 1.15V, 1.20V). We then measure the power and frequency at each ofthe V DD values. Thesecurves areplotted infigure5. From this figure, we observe that 3D usually offers a performance advantage (at the same power) over 2D, and it closes the gap to ideal by up to 50%. This additional performance can be traded for power savings to meet the 2D frequency, and we see uptoa16.1%reductioninpower. Inthesecurves,theidealimplementation of b19 requires extrapolation to make iso-performance power comparisons at the nominal 2D frequency. We do not make such a comparison due to inaccuracies that are bound to be introduced by extrapolation. The reason the absolute values of the gains in the mul128 benchmark are so small is because the critical path is always within a single block. Since the inter-block nets are not timing critical, shorteningthemdoesnotmakethedesignfaster,andthereisnoadditional performance to trade for power. Making this design faster will require architectural modifications such as block folding. 4.2 Impact of Inter- Variations In this section, we study the impact of the inter-tier variations on the power-performance envelope of monolithic 3D. As described in Section 3, we perform synthesis of each block for each degraded li-

5 LPD Through Block (ns) Small blocks are most critical TT TTm10p TTm20p TT_W TT_W only slightly larger than TT Large blocks are not timing critical Block Area (um 2 ) Figure 6: Synthesis results of des3 benchmark for degraded transistors and interconnects. MIVs Top MIV Landing Pads Bottom Critical Block Moved to Bottom (a) (b) Top Bottom Figure 7: Floorplan screenshots of des3 when the top tier is at the TTm20p corner. (a) Without variation-aware floorplanning, and (b) With variation aware floorplanning. brary. The synthesis results for des3 are shown in Figure 6. This figure plots the block area vs. the longest path delay through it. Each point on this plot is a single block. As seen from this graph, the largest blocks in this benchmark are not timing critical. For all of the degraded transistor and interconnect options, they have the same frequency and area. However, the smallest blocks seem to be the most timing critical. They require much larger area (buffers) to tryandmeettiming,anditisstillnotpossible. Therefore,thefloorplanner must move them to the non-degraded tier. We also observe that tungsten interconnects have almost no timing degradation, and only a marginal area overhead. This is because if a design is interconnect dominated, it is quite easy to make it gate-dominated and meet timing by inserting more buffers. While timing can be met, it willcome atapower penalty. We now run our variation aware floorplanner on all benchmarks for each degraded option. Assuming that the top tier is at the T T m20p corner, sample floorplan screenshots for des3 with and without variation aware floorplanning is shown in Figure 7. In this Table 5: Basic floorplan comparisons for different degraded 3D options. The numbers in brackets are normalized to the respective 2D numbers in Table 4. #Gates Footprint Total # MIV Ckt. Flavor ( 10 3 ) (mm 2 ) WL (m) ( 10 3 ) Top=TTm10p 68.1(0.99) 0.159(0.49) 1.29(0.85) 3.92 des3 Top=TTm20p 67.2(0.98) 0.177(0.54) 1.44(0.95) 5.67 Bot=TT_W 66.8(0.97) 0.153(0.47) 1.31(0.87) 3.11 Top=TTm10p 80.8(0.98) 0.212(0.53) 2.84(0.85) 11.6 b19 Top=TTm20p 82.0(1.00) 0.222(0.56) 2.90(0.87) 11.3 Bot=TT_W 80.8(0.98) 0.208(0.52) 2.91(0.87) 12.9 Top=TTm10p 247(0.98) 0.574(0.52) 4.35(0.93) 4.48 mul128 Top=TTm20p 249(0.99) 0.575(0.52) 4.38(0.94) 4.48 Bot=TT_W 246(0.98) 0.568(0.52) 4.29(0.91) 4.48 figure, we observe that the variation aware floorplanner moves the smaller, more timing critical blocks to the bottom tier, so they can operate at the desired frequency. We tabulate the basic floorplan comparisons for all the degraded options in Table 5. The numbers are normalized to the respective 2DnumbersinTable4. Asseenfromthistable,allofthedegraded options use more gates than the case when both tiers have identical performance. However, the gate counts are still less than 2D. Similarly, both the footprint area and the wirelength are increased from the non-degraded case, but are still less than 2D. The only exception is the mul128 benchmark, when the bottom tier is at the TT_W corner. This has a slightly lower wirelength than the non-degraded option, but this is due to the trade off with footprint area. Next, we plot the power-performance trade-off curves for the degraded transistors and interconnects in Figure 8. These are shown as solid lines. We also plot the results of degraded transistors and interconnects on top of a non-variation aware floorplanning solution. These are shown as dashed lines. As observed from this figure, our variation-aware floorplanner (VAFP) always outperforms the non-variation aware one. We also observe that except in the case of mul128, the top tier having TTm20p transistors is worse than2d,evenwithvafp.wealsoobservethataftervafp,thetop tier with TTm10p transistors is always better than 2D. Finally, we observe that tungsten interconnects on the bottom tier are by far the best option, and although there is negligible timing degradation compared to the identical tiers case, some power overhead exists. 4.3 Impact of Variation-Aware Floorplanning To show the impact of our inter-tier variation-aware floorplanner, we tabulate the iso-power frequency and iso-performance power in Table 6. The comparison point for each of the three benchmarks is the respective 2D power and frequency at nominal V DD. If a particular point is not achievable within ±10% of nominal V DD, and extrapolation is required, wemark itwitha -. From this table, we observe that our variation aware floorplanner improves the iso-power performance by up to 12.6% and the isoperformance power by up to 10.6%. However, the non-variation awarefloorplanresultsareoftennotabletomeetthe2dfrequency even with a 10% V DD boost. If the V DD was increased further so that they could meet timing, our variation-aware floorplanner would show even more benefit. 4.4 Overall Comparisons Similar to the previous section, we tabulate the iso-power performance and iso-performance power for 2D, ideal, the non-degraded monolithic 3D, as well the variation-aware floorplanning results for degraded monolithic 3D in Table 7. From this table, we clearly see that tungsten interconnects on the

6 Power (mw) % -10.6% D 3D,Top=TTm10p 3D, Top=TTm20p 3D, Bot=TT_W % -14% % -3.8% (a) des3 (b) b19 (c) mul128 Figure 8: Power-performance trade-off curves assuming degraded transistors and interconnects. Dashed lines represent non variation-aware floorplanning and solid lines represent variation-aware floorplanning. Table 6: Theimpact of variation-aware floorplanning(vafp). - indicatesthat point isnot achievable within±10% V DD. Ckt. Parameter Top=TTm10p Top=TTm20p Bot=TT_W Non-VAFP VAFP Non-VAFP VAFP Non-VAFP VAFP des3 iso-power frequency(ghz) 1.233(1.000) 1.259(1.021) 1.14(1.000) 1.19(1.044) 1.222(1.000) 1.28(1.047) iso-performance power(mw) (1.000) 479.1(0.944) (-) (1.000) (0.894) b19 iso-power frequency(ghz) 0.417(1.000) 0.424(1.017) 0.396(1.000) 0.396(1.000) 0.432(1.000) 0.439(1.016) iso-performance power(mw) (1.000) (0.953) (1.000) (0.998) (1.000) (1.000) iso-power frequency(ghz) 0.737(1.000) 0.793(1.076) 0.692(1.000) 0.779(1.126) (-) mul128 iso-performance power(mw) (-) (-) (-) Table 7: Iso-power performance and iso-performance power results for all implementation flavors. Ckt. Parameter 2D Ideal 3D Both=TT Top=TTm10p Top=TTm20p Bot=TT_W des3 iso-power frequency(ghz) 1.222(1.000) 1.411(1.155) 1.293(1.058) 1.259(1.030) 1.19(0.974) 1.28(1.047) iso-performance power(mw) (1.000) (0.716) (0.883) 479.1(0.922) (1.054) (0.894) b19 iso-power frequency(ghz) 0.408(1.000) 0.5(1.225) 0.439(1.076) 0.424(1.039) 0.396(0.971) 0.439(1.076) iso-performance power(mw) (1.000) -(-) (0.839) (0.921) (1.100) (0.860) iso-power frequency(ghz) 0.779(1.000) 0.807(1.036) 0.793(1.018) 0.793(1.018) 0.779(1.000) 0.793(1.018) mul128 iso-performance power(mw) (1.000) (0.879) (0.931) (0.968) (1.000) (0.962) bottom tier outperform degraded transistors on the top tier. This option is preferable from the manufacturing perspective as well, as the process is already ready. Even with tungsten interconnects on thebottomtier,weseethatwecanclosethegaptotheidealblocklevel implementation by up to 50% w.r.t. performance and 36% w.r.t. power. 5. CONCLUSION In this paper, we presented a methodology to design and analyze monolithic 3D ICs(M3D), where the tiers have different device and interconnect delay and power characteristics. We developed a floorplanning scheme that addresses this inter-tier variation and builds variation-tolerant block-level designs. Using these tools, we first showed a significant power-performance improvement with M3D designs compared with 2D designs and theoretical bounds. In addition, our variation-aware floorplanning scheme improves performance and power significantly when compared with non variationaware floorplanning. We also studied the impact of highly resistive tungsten interconnects that are used for the bottom tier to withstand high temperature manufacturing of non-bottom tiers. Our design methods can still help us obtain high quality power-performance results even under this material change. 6. REFERENCES [1] P. Batude et al. 3-D Sequential Integration: A Key Enabling Technology for Heterogeneous Co-Integration of New Function With CMOS. IEEE Journal on Emerging and Selected Topics in Circuits and Systems, [2] S. Bobba et al. CELONCEL: Effective design technique for 3-D monolithic integration targeting high performance integrated circuits. In Proc. Asia and South Pacific Design Automation Conf., [3] D. Choiet al. Electronmean freepath oftungstenand theelectrical resistivity of epitaxial (110) tungsten films. Phys. Rev. B, [4] Y. J. Lee, P. Morrow, ands. K. Lim. Ultra HighDensity Logic Designs Using Transistor-Level Monolithic 3D Integration. In Proc. IEEE Int. Conf. on Computer-Aided Design, [5] G. Lopez. The impact of interconnect process variations and size effects for gigascale integration. PhD. Thesis, Georgia Tech, [6] S. Panth, K. Samadi, Y. Du, ands. K. Lim. High-Density Integration of Functional Modules Using Monolithic 3D-IC Technology. In Proc. Asia and South Pacific Design Automation Conf., [7] J. Plombon et al. Influence of phonon, geometry, impurity, and grain size on Copper line resistivity. Applied Physics Letters, [8] B. Rajendran et al. Low Thermal Budget Processing for Sequential 3-D IC Fabrication. IEEE Trans. on Electron Devices, [9] W. Steinhogl et al. Tungsten interconnects in the nano-scale regime. Microelectronic Engineering, [10] C. Xu et al. Improvements in low temperature (<625C) FDSOI devices downto30nmgatelength. InIEEEInt. Symposium onvlsi Technology, Systems, and Applications, 2012.

Design Challenges and Solutions for Ultra-High-Density Monolithic 3D ICs

Design Challenges and Solutions for Ultra-High-Density Monolithic 3D ICs J. lnf. Commun. Converg. Eng. 12(3): 186-192, Sep. 2014 Regular paper Design Challenges and Solutions for Ultra-High-Density Monolithic 3D ICs Shreepad Panth 1, Sandeep Samal 1, Yun Seop Yu 2, and Sung

More information

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 8, AUGUST

IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 8, AUGUST IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 8, AUGUST 2017 1265 Tier Degradation of Monolithic 3-D ICs: A Power Performance Study at Different Technology

More information

Power Benefit Study for Ultra-High Density Transistor-Level Monolithic 3D ICs

Power Benefit Study for Ultra-High Density Transistor-Level Monolithic 3D ICs Power Benefit Study for Ultra-High Density Transistor-Level Monolithic 3D ICs ABSTRACT The nano-scale 3D interconnects available in monolithic 3D IC technology enable ultra-high density device integration

More information

Design Quality Trade-Off Studies for 3-D ICs Built With Sub-Micron TSVs and Future Devices

Design Quality Trade-Off Studies for 3-D ICs Built With Sub-Micron TSVs and Future Devices 240 IEEE JOURNAL ON EMERGING AND SELECTED TOPICS IN CIRCUITS AND SYSTEMS, VOL. 2, NO. 2, JUNE 2012 Design Quality Trade-Off Studies for 3-D ICs Built With Sub-Micron TSVs and Future Devices Dae Hyun Kim,

More information

THREE-dimensional (3D) integrated circuits (ICs) have

THREE-dimensional (3D) integrated circuits (ICs) have IEEE TRANSACTIONS ON CIRCUITS AND SYSTEMS I: REGULAR PAPERS, VOL. 65, NO. 3, MARCH 2018 1075 Mono3D: Open Source Cell Library for Monolithic 3-D Integrated Circuits Chen Yan, Student Member, IEEE, andemresalman,

More information

IT IS BELIEVED that in today s logic designs, interconnects

IT IS BELIEVED that in today s logic designs, interconnects 1892 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 32, NO. 12, DECEMBER 2013 Ultrahigh Density Logic Designs Using Monolithic 3-D Integration Young-Joon Lee, Student

More information

Physical Design of Monolithic 3D ICs with Applications to Hardware Security

Physical Design of Monolithic 3D ICs with Applications to Hardware Security Physical Design of Monolithic ICs with Applications to Hardware Security Chen Yan and Emre Salman Department of Electrical and Computer Engineering Stony Brook University (SUNY), Stony Brook, NY 11794

More information

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS

Power-Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS -Delivery Network in 3D ICs: Monolithic 3D vs. Skybridge 3D CMOS Jiajun Shi, Mingyu Li and Csaba Andras Moritz Department of Electrical and Computer Engineering University of Massachusetts, Amherst, MA,

More information

Leakage Power Minimization in Deep-Submicron CMOS circuits

Leakage Power Minimization in Deep-Submicron CMOS circuits Outline Leakage Power Minimization in Deep-Submicron circuits Politecnico di Torino Dip. di Automatica e Informatica 1019 Torino, Italy enrico.macii@polito.it Introduction. Design for low leakage: Basics.

More information

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers

Wafer-scale 3D integration of silicon-on-insulator RF amplifiers Wafer-scale integration of silicon-on-insulator RF amplifiers The MIT Faculty has made this article openly available. Please share how this access benefits you. Your story matters. Citation As Published

More information

992 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 6, JUNE 2017

992 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 6, JUNE 2017 992 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 6, JUNE 2017 Full Chip Impact Study of Power Delivery Network Designs in Gate-Level Monolithic 3-D ICs Sandeep

More information

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS

PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS PROCESS-VOLTAGE-TEMPERATURE (PVT) VARIATIONS AND STATIC TIMING ANALYSIS The major design challenges of ASIC design consist of microscopic issues and macroscopic issues [1]. The microscopic issues are ultra-high

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

cq,reg clk,slew min,logic hold clk slew clk,uncertainty

cq,reg clk,slew min,logic hold clk slew clk,uncertainty Clock Network Design for Ultra-Low Power Applications Mingoo Seok, David Blaauw, Dennis Sylvester EECS, University of Michigan, Ann Arbor, MI, USA mgseok@umich.edu ABSTRACT Robust design is a critical

More information

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER

AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER AN EFFICIENT APPROACH TO MINIMIZE POWER AND AREA IN CARRY SELECT ADDER USING BINARY TO EXCESS ONE CONVERTER K. RAMAMOORTHY 1 T. CHELLADURAI 2 V. MANIKANDAN 3 1 Department of Electronics and Communication

More information

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment

THERE is a growing need for high-performance and. Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment 1014 IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 24, NO. 7, JULY 2005 Static Leakage Reduction Through Simultaneous V t /T ox and State Assignment Dongwoo Lee, Student

More information

Thermal Management in the 3D-SiP World of the Future

Thermal Management in the 3D-SiP World of the Future Thermal Management in the 3D-SiP World of the Future Presented by W. R. Bottoms March 181 th, 2013 Smaller, More Powerful Portable Devices Are Driving Up Power Density Power (both power delivery and power

More information

Full-Chip TSV-to-TSV Coupling Analysis and Optimization in 3D IC

Full-Chip TSV-to-TSV Coupling Analysis and Optimization in 3D IC Full-Chip -to- Coupling Analysis and Optimization in 3D IC Chang Liu 1, Taigon Song 1, Jonghyun Cho 2, Joohee Kim 2, Joungho Kim 2, and Sung Kyu Lim 1 1 School of Electrical and Computer Engineering, eorgia

More information

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University.

EE 434 ASIC and Digital Systems. Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University. EE 434 ASIC and Digital Systems Prof. Dae Hyun Kim School of Electrical Engineering and Computer Science Washington State University Preliminaries VLSI Design System Specification Functional Design RTL

More information

Placement and Routing of RF Embedded Passive Designs In LCP Substrate

Placement and Routing of RF Embedded Passive Designs In LCP Substrate Placement and Routing of RF Embedded Passive Designs In LCP Substrate Mohit Pathak, Souvik Mukherjee, Madhavan Swaminathan, Ege Engin, and Sung Kyu Lim School of Electrical and Computer Engineering Georgia

More information

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis

Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis Novel Low-Overhead Operand Isolation Techniques for Low-Power Datapath Synthesis N. Banerjee, A. Raychowdhury, S. Bhunia, H. Mahmoodi, and K. Roy School of Electrical and Computer Engineering, Purdue University,

More information

Variation-Aware Design for Nanometer Generation LSI

Variation-Aware Design for Nanometer Generation LSI HIRATA Morihisa, SHIMIZU Takashi, YAMADA Kenta Abstract Advancement in the microfabrication of semiconductor chips has made the variations and layout-dependent fluctuations of transistor characteristics

More information

UNEXPECTED through-silicon-via (TSV) defects may occur

UNEXPECTED through-silicon-via (TSV) defects may occur IEEE TRANSACTIONS ON COMPUTER-AIDED DESIGN OF INTEGRATED CIRCUITS AND SYSTEMS, VOL. 36, NO. 10, OCTOBER 2017 1759 Grouping-Based TSV Test Architecture for Resistive Open and Bridge Defects in 3-D-ICs Young-woo

More information

Research in Support of the Die / Package Interface

Research in Support of the Die / Package Interface Research in Support of the Die / Package Interface Introduction As the microelectronics industry continues to scale down CMOS in accordance with Moore s Law and the ITRS roadmap, the minimum feature size

More information

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience

CMOS VLSI IC Design. A decent understanding of all tasks required to design and fabricate a chip takes years of experience CMOS VLSI IC Design A decent understanding of all tasks required to design and fabricate a chip takes years of experience 1 Commonly used keywords INTEGRATED CIRCUIT (IC) many transistors on one chip VERY

More information

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm

Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Traditional Sign-Off Wastes 20% of the Timing Margin at 40nm Amber Path FX SPICE Accurate Statistical Timing for 40nm and Below Amber Path FX is a trusted analysis solution for designers trying to close on power, performance, yield and area in 40 nanometer processes

More information

Routability in 3D IC Design: Monolithic 3D vs. Skybridge 3D CMOS

Routability in 3D IC Design: Monolithic 3D vs. Skybridge 3D CMOS Routability in 3D IC Design: Monolithic 3D vs. Skybridge 3D CMOS Jiajun Shi 1, Mingyu Li 1, Santosh Khasanvis 3, Mostafizur Rahman 2 and Csaba Andras Moritz 1 1 Department of Electrical and Computer Engineering,

More information

Accurate and Efficient Macromodel of Submicron Digital Standard Cells

Accurate and Efficient Macromodel of Submicron Digital Standard Cells Accurate and Efficient Macromodel of Submicron Digital Standard Cells Cristiano Forzan, Bruno Franzini and Carlo Guardiani SGS-THOMSON Microelectronics, via C. Olivetti, 2, 241 Agrate Brianza (MI), ITALY

More information

Low Transistor Variability The Key to Energy Efficient ICs

Low Transistor Variability The Key to Energy Efficient ICs Low Transistor Variability The Key to Energy Efficient ICs 2 nd Berkeley Symposium on Energy Efficient Electronic Systems 11/3/11 Robert Rogenmoser, PhD 1 BEES_roro_G_111103 Copyright 2011 SuVolta, Inc.

More information

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag PHYSICAL STRUCTURE OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Integrated Circuit Layers MOSFETs CMOS Layers Designing FET Arrays EE 432 VLSI Modeling and Design 2 Integrated Circuit Layers

More information

Improving Performance under Process and Voltage Variations in Near-Threshold Computing Using 3D ICs

Improving Performance under Process and Voltage Variations in Near-Threshold Computing Using 3D ICs Improving Performance under Process and Voltage Variations in Near-Threshold Computing Using 3D ICs SANDEEP KUMAR SAMAL, Georgia Institute of Technology GUOQING CHEN, Advanced Micro Devices SUNG KYU LIM,

More information

Chapter 7 Introduction to 3D Integration Technology using TSV

Chapter 7 Introduction to 3D Integration Technology using TSV Chapter 7 Introduction to 3D Integration Technology using TSV Jin-Fu Li Department of Electrical Engineering National Central University Jungli, Taiwan Outline Why 3D Integration An Exemplary TSV Process

More information

RECENT technology trends have lead to an increase in

RECENT technology trends have lead to an increase in IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 39, NO. 9, SEPTEMBER 2004 1581 Noise Analysis Methodology for Partially Depleted SOI Circuits Mini Nanua and David Blaauw Abstract In partially depleted silicon-on-insulator

More information

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits

Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Design of Nano-Electro Mechanical (NEM) Relay Based Nano Transistor for Power Efficient VLSI Circuits Arul C 1 and Dr. Omkumar S 2 1 Research Scholar, SCSVMV University, Kancheepuram, India. 2 Associate

More information

A Novel Low-Power Scan Design Technique Using Supply Gating

A Novel Low-Power Scan Design Technique Using Supply Gating A Novel Low-Power Scan Design Technique Using Supply Gating S. Bhunia, H. Mahmoodi, S. Mukhopadhyay, D. Ghosh, and K. Roy School of Electrical and Computer Engineering, Purdue University, West Lafayette,

More information

2.5D & 3D Package Signal Integrity A Paradigm Shift

2.5D & 3D Package Signal Integrity A Paradigm Shift 2.5D & 3D Package Signal Integrity A Paradigm Shift Nozad Karim Technology & Platform Development November, 2011 Enabling a Microelectronic World Content Traditional package signal integrity vs. 2.5D/3D

More information

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits

Design of Ultra-Low Power PMOS and NMOS for Nano Scale VLSI Circuits Circuits and Systems, 2015, 6, 60-69 Published Online March 2015 in SciRes. http://www.scirp.org/journal/cs http://dx.doi.org/10.4236/cs.2015.63007 Design of Ultra-Low Power PMOS and NMOS for Nano Scale

More information

Low Power Design Methods: Design Flows and Kits

Low Power Design Methods: Design Flows and Kits JOINT ADVANCED STUDENT SCHOOL 2011, Moscow Low Power Design Methods: Design Flows and Kits Reported by Shushanik Karapetyan Synopsys Armenia Educational Department State Engineering University of Armenia

More information

UNIT-II LOW POWER VLSI DESIGN APPROACHES

UNIT-II LOW POWER VLSI DESIGN APPROACHES UNIT-II LOW POWER VLSI DESIGN APPROACHES Low power Design through Voltage Scaling: The switching power dissipation in CMOS digital integrated circuits is a strong function of the power supply voltage.

More information

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits

FDTD SPICE Analysis of High-Speed Cells in Silicon Integrated Circuits FDTD Analysis of High-Speed Cells in Silicon Integrated Circuits Neven Orhanovic and Norio Matsui Applied Simulation Technology Gateway Place, Suite 8 San Jose, CA 9 {neven, matsui}@apsimtech.com Abstract

More information

Lecture #2 Solving the Interconnect Problems in VLSI

Lecture #2 Solving the Interconnect Problems in VLSI Lecture #2 Solving the Interconnect Problems in VLSI C.P. Ravikumar IIT Madras - C.P. Ravikumar 1 Interconnect Problems Interconnect delay has become more important than gate delays after 130nm technology

More information

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available

Timing analysis can be done right after synthesis. But it can only be accurately done when layout is available Timing Analysis Lecture 9 ECE 156A-B 1 General Timing analysis can be done right after synthesis But it can only be accurately done when layout is available Timing analysis at an early stage is not accurate

More information

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI

On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital VLSI ELEN 689 606 Techniques for Layout Synthesis and Simulation in EDA Project Report On Chip Active Decoupling Capacitors for Supply Noise Reduction for Power Gating and Dynamic Dual Vdd Circuits in Digital

More information

Power Distribution Paths in 3-D ICs

Power Distribution Paths in 3-D ICs Power Distribution Paths in 3-D ICs Vasilis F. Pavlidis Giovanni De Micheli LSI-EPFL 1015-Lausanne, Switzerland {vasileios.pavlidis, giovanni.demicheli}@epfl.ch ABSTRACT Distributing power and ground to

More information

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India,

2 Assoc Prof, Dept of ECE, George Institute of Engineering & Technology, Markapur, AP, India, ISSN 2319-8885 Vol.03,Issue.30 October-2014, Pages:5968-5972 www.ijsetr.com Low Power and Area-Efficient Carry Select Adder THANNEERU DHURGARAO 1, P.PRASANNA MURALI KRISHNA 2 1 PG Scholar, Dept of DECS,

More information

Ruixing Yang

Ruixing Yang Design of the Power Switching Network Ruixing Yang 15.01.2009 Outline Power Gating implementation styles Sleep transistor power network synthesis Wakeup in-rush current control Wakeup and sleep latency

More information

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore.

This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. This document is downloaded from DR-NTU, Nanyang Technological University Library, Singapore. Title Triple boundary multiphase with predictive interleaving technique for switched capacitor DC-DC converter

More information

Towards PVT-Tolerant Glitch-Free Operation in FPGAs

Towards PVT-Tolerant Glitch-Free Operation in FPGAs Towards PVT-Tolerant Glitch-Free Operation in FPGAs Safeen Huda and Jason H. Anderson ECE Department, University of Toronto, Canada 24 th ACM/SIGDA International Symposium on FPGAs February 22, 2016 Motivation

More information

Low Power Design of Successive Approximation Registers

Low Power Design of Successive Approximation Registers Low Power Design of Successive Approximation Registers Rabeeh Majidi ECE Department, Worcester Polytechnic Institute, Worcester MA USA rabeehm@ece.wpi.edu Abstract: This paper presents low power design

More information

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006

Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Physical Design of Digital Integrated Circuits (EN0291 S40) Sherief Reda Division of Engineering, Brown University Fall 2006 Lecture 01: the big picture Course objective Brief tour of IC physical design

More information

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1

DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 DESIGN OF LOW POWER HIGH PERFORMANCE 4-16 MIXED LOGIC LINE DECODER P.Ramakrishna 1, T Shivashankar 2, S Sai Vaishnavi 3, V Gowthami 4 1 Asst. Professsor, Anurag group of institutions 2,3,4 UG scholar,

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Interconnect-Power Dissipation in a Microprocessor

Interconnect-Power Dissipation in a Microprocessor 4/2/2004 Interconnect-Power Dissipation in a Microprocessor N. Magen, A. Kolodny, U. Weiser, N. Shamir Intel corporation Technion - Israel Institute of Technology 4/2/2004 2 Interconnect-Power Definition

More information

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective

Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective Dual-K K Versus Dual-T T Technique for Gate Leakage Reduction : A Comparative Perspective S. P. Mohanty, R. Velagapudi and E. Kougianos Dept of Computer Science and Engineering University of North Texas

More information

Lecture 11: Clocking

Lecture 11: Clocking High Speed CMOS VLSI Design Lecture 11: Clocking (c) 1997 David Harris 1.0 Introduction We have seen that generating and distributing clocks with little skew is essential to high speed circuit design.

More information

CHAPTER 3 NEW SLEEPY- PASS GATE

CHAPTER 3 NEW SLEEPY- PASS GATE 56 CHAPTER 3 NEW SLEEPY- PASS GATE 3.1 INTRODUCTION A circuit level design technique is presented in this chapter to reduce the overall leakage power in conventional CMOS cells. The new leakage po leepy-

More information

Introduction to VLSI ASIC Design and Technology

Introduction to VLSI ASIC Design and Technology Introduction to VLSI ASIC Design and Technology Paulo Moreira CERN - Geneva, Switzerland Paulo Moreira Introduction 1 Outline Introduction Is there a limit? Transistors CMOS building blocks Parasitics

More information

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator

All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator All Digital on Chip Process Sensor Using Ratioed Inverter Based Ring Oscillator 1 G. Rajesh, 2 G. Guru Prakash, 3 M.Yachendra, 4 O.Venka babu, 5 Mr. G. Kiran Kumar 1,2,3,4 Final year, B. Tech, Department

More information

Lecture 9: Cell Design Issues

Lecture 9: Cell Design Issues Lecture 9: Cell Design Issues MAH, AEN EE271 Lecture 9 1 Overview Reading W&E 6.3 to 6.3.6 - FPGA, Gate Array, and Std Cell design W&E 5.3 - Cell design Introduction This lecture will look at some of the

More information

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications

3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications 3D IC-Package-Board Co-analysis using 3D EM Simulation for Mobile Applications Darryl Kostka, CST of America Taigon Song and Sung Kyu Lim, Georgia Institute of Technology Outline Introduction TSV Array

More information

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology

Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology Prepared by Dr. Ulkuhan Guler GT-Bionics Lab Georgia Institute of Technology OUTLINE Understanding Fabrication Imperfections Layout of MOS Transistor Matching Theory and Mismatches Device Matching, Interdigitation

More information

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation. Variation. Process Corners.

ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems. Today. Variation. Variation. Process Corners. ESE370: Circuit-Level Modeling, Design, and Optimization for Digital Systems Day 13: October 3, 2012 Layout and Area Today Coping with Variation (from last time) Layout Transistors Gates Design rules Standard

More information

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D

450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D 450mm and Moore s Law Advanced Packaging Challenges and the Impact of 3D Doug Anberg VP, Technical Marketing Ultratech SOKUDO Lithography Breakfast Forum July 10, 2013 Agenda Next Generation Technology

More information

Chapter 3 Chip Planning

Chapter 3 Chip Planning Chapter 3 Chip Planning 3.1 Introduction to Floorplanning 3. Optimization Goals in Floorplanning 3.3 Terminology 3.4 Floorplan Representations 3.4.1 Floorplan to a Constraint-Graph Pair 3.4. Floorplan

More information

Lecture 9: Clocking for High Performance Processors

Lecture 9: Clocking for High Performance Processors Lecture 9: Clocking for High Performance Processors Computer Systems Lab Stanford University horowitz@stanford.edu Copyright 2001 Mark Horowitz EE371 Lecture 9-1 Horowitz Overview Reading Bailey Stojanovic

More information

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2

1 Gb DRAM. 32 Mb Module. Plane 1. Plane 2 Design Space Exploration for Robust Power Delivery in TSV Based 3-D Systems-on-Chip Suhas M. Satheesh High-Speed Fabrics Team NVIDIA Santa Clara, California 955 ssatheesh@nvidia.com Emre Salman Department

More information

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type.

Jack Keil Wolf Lecture. ESE 570: Digital Integrated Circuits and VLSI Fundamentals. Lecture Outline. MOSFET N-Type, P-Type. ESE 570: Digital Integrated Circuits and VLSI Fundamentals Jack Keil Wolf Lecture Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance

Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Subthreshold Voltage High-k CMOS Devices Have Lowest Energy and High Process Tolerance Muralidharan Venkatasubramanian Auburn University vmn0001@auburn.edu Vishwani D. Agrawal Auburn University vagrawal@eng.auburn.edu

More information

The challenges of low power design Karen Yorav

The challenges of low power design Karen Yorav The challenges of low power design Karen Yorav The challenges of low power design What this tutorial is NOT about: Electrical engineering CMOS technology but also not Hand waving nonsense about trends

More information

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology

A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology UDC 621.3.049.771.14:621.396.949 A 0.9 V Low-power 16-bit DSP Based on a Top-down Design Methodology VAtsushi Tsuchiya VTetsuyoshi Shiota VShoichiro Kawashima (Manuscript received December 8, 1999) A 0.9

More information

3-2-1 Contact: An Experimental Approach to the Analysis of Contacts in 45 nm and Below. Rasit Onur Topaloglu, Ph.D.

3-2-1 Contact: An Experimental Approach to the Analysis of Contacts in 45 nm and Below. Rasit Onur Topaloglu, Ph.D. 3-2-1 Contact: An Experimental Approach to the Analysis of Contacts in 45 nm and Below Rasit Onur Topaloglu, Ph.D. Outline Introduction and Motivation Impact of Contact Resistance Test Structures for Contact

More information

High-speed low-power 2D DCT Accelerator. EECS 6321 Yuxiang Chen, Xinyi Chang, Song Wang Electrical Engineering, Columbia University Prof.

High-speed low-power 2D DCT Accelerator. EECS 6321 Yuxiang Chen, Xinyi Chang, Song Wang Electrical Engineering, Columbia University Prof. High-speed low-power 2D DCT Accelerator EECS 6321 Yuxiang Chen, Xinyi Chang, Song Wang Electrical Engineering, Columbia University Prof. Mingoo Seok Project Goal Project Goal Execute a full VLSI design

More information

Very Large Scale Integration (VLSI)

Very Large Scale Integration (VLSI) Very Large Scale Integration (VLSI) Lecture 6 Dr. Ahmed H. Madian Ah_madian@hotmail.com Dr. Ahmed H. Madian-VLSI 1 Contents Array subsystems Gate arrays technology Sea-of-gates Standard cell Macrocell

More information

Through-Silicon-Via Inductor: Is it Real or Just A Fantasy?

Through-Silicon-Via Inductor: Is it Real or Just A Fantasy? Through-Silicon-Via Inductor: Is it Real or Just A Fantasy? Umamaheswara Rao Tida 1 Cheng Zhuo 2 Yiyu Shi 1 1 ECE Department, Missouri University of Science and Technology 2 Intel Research, Hillsboro Outline

More information

EC 1354-Principles of VLSI Design

EC 1354-Principles of VLSI Design EC 1354-Principles of VLSI Design UNIT I MOS TRANSISTOR THEORY AND PROCESS TECHNOLOGY PART-A 1. What are the four generations of integrated circuits? 2. Give the advantages of IC. 3. Give the variety of

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

ESE 570: Digital Integrated Circuits and VLSI Fundamentals

ESE 570: Digital Integrated Circuits and VLSI Fundamentals ESE 570: Digital Integrated Circuits and VLSI Fundamentals Lec 3: January 24, 2019 MOS Fabrication pt. 2: Design Rules and Layout Penn ESE 570 Spring 2019 Khanna Jack Keil Wolf Lecture http://www.ese.upenn.edu/about-ese/events/wolf.php

More information

Low Power, Area Efficient FinFET Circuit Design

Low Power, Area Efficient FinFET Circuit Design Low Power, Area Efficient FinFET Circuit Design Michael C. Wang, Princeton University Abstract FinFET, which is a double-gate field effect transistor (DGFET), is more versatile than traditional single-gate

More information

Aarthi.P, Suresh Kumar.R, Muniraj N. J. R, International Journal of Advance Research, Ideas and Innovations in Technology.

Aarthi.P, Suresh Kumar.R, Muniraj N. J. R, International Journal of Advance Research, Ideas and Innovations in Technology. ISSN: 2454-132X Impact factor: 4.295 (Volume3, Issue6) Available online at www.ijariit.com Implementation of Pull-Up/Pull-Down Network for Energy Optimization in Full Adder Circuit P. Aarthi Assistant

More information

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers

Accurate Timing and Power Characterization of Static Single-Track Full-Buffers Accurate Timing and Power Characterization of Static Single-Track Full-Buffers By Rahul Rithe Department of Electronics & Electrical Communication Engineering Indian Institute of Technology Kharagpur,

More information

Active Decap Design Considerations for Optimal Supply Noise Reduction

Active Decap Design Considerations for Optimal Supply Noise Reduction Active Decap Design Considerations for Optimal Supply Noise Reduction Xiongfei Meng and Resve Saleh Dept. of ECE, University of British Columbia, 356 Main Mall, Vancouver, BC, V6T Z4, Canada E-mail: {xmeng,

More information

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis

EEC 216 Lecture #10: Ultra Low Voltage and Subthreshold Circuit Design. Rajeevan Amirtharajah University of California, Davis EEC 216 Lecture #1: Ultra Low Voltage and Subthreshold Circuit Design Rajeevan Amirtharajah University of California, Davis Opportunities for Ultra Low Voltage Battery Operated and Mobile Systems Wireless

More information

Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR. April

Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR. April Ramya Srinivasan GLOBALFOUNDRIES 22FDX: Tempus Body-Bias Interpolation QoR April 12 2017 22FDX: Tempus Body-Bias Interpolation QoR Presenter: Ramya Srinivasan Authors GLOBALFOUNDRIES: Haritez Narisetty

More information

A Survey of the Low Power Design Techniques at the Circuit Level

A Survey of the Low Power Design Techniques at the Circuit Level A Survey of the Low Power Design Techniques at the Circuit Level Hari Krishna B Assistant Professor, Department of Electronics and Communication Engineering, Vagdevi Engineering College, Warangal, India

More information

TCAM Core Design in 3D IC for Low Matchline Capacitance and Low Power

TCAM Core Design in 3D IC for Low Matchline Capacitance and Low Power Invited Paper TCAM Core Design in 3D IC for Low Matchline Capacitance and Low Power Eun Chu Oh and Paul D. Franzon ECE Dept., North Carolina State University, 2410 Campus Shore Drive, Raleigh, NC, USA

More information

Fast Statistical Timing Analysis By Probabilistic Event Propagation

Fast Statistical Timing Analysis By Probabilistic Event Propagation Fast Statistical Timing Analysis By Probabilistic Event Propagation Jing-Jia Liou, Kwang-Ting Cheng, Sandip Kundu, and Angela Krstić Electrical and Computer Engineering Department, University of California,

More information

Power Spring /7/05 L11 Power 1

Power Spring /7/05 L11 Power 1 Power 6.884 Spring 2005 3/7/05 L11 Power 1 Lab 2 Results Pareto-Optimal Points 6.884 Spring 2005 3/7/05 L11 Power 2 Standard Projects Two basic design projects Processor variants (based on lab1&2 testrigs)

More information

Variable-Segment & Variable-Driver Parallel Regeneration Techniques for RLC VLSI Interconnects

Variable-Segment & Variable-Driver Parallel Regeneration Techniques for RLC VLSI Interconnects Variable-Segment & Variable-Driver Parallel Regeneration Techniques for RLC VLSI Interconnects Falah R. Awwad Concordia University ECE Dept., Montreal, Quebec, H3H 1M8 Canada phone: (514) 802-6305 Email:

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

A 3-10GHz Ultra-Wideband Pulser

A 3-10GHz Ultra-Wideband Pulser A 3-10GHz Ultra-Wideband Pulser Jan M. Rabaey Simone Gambini Davide Guermandi Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No. UCB/EECS-2006-136 http://www.eecs.berkeley.edu/pubs/techrpts/2006/eecs-2006-136.html

More information

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng.

MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng. MS Project :Trading Accuracy for Power with an Under-designed Multiplier Architecture Parag Kulkarni Adviser : Prof. Puneet Gupta Electrical Eng., UCLA - http://nanocad.ee.ucla.edu/ 1 Outline Introduction

More information

Jeffrey Davis Georgia Institute of Technology School of ECE Atlanta, GA Tel No

Jeffrey Davis Georgia Institute of Technology School of ECE Atlanta, GA Tel No Wave-Pipelined 2-Slot Time Division Multiplexed () Routing Ajay Joshi Georgia Institute of Technology School of ECE Atlanta, GA 3332-25 Tel No. -44-894-9362 joshi@ece.gatech.edu Jeffrey Davis Georgia Institute

More information

An Analog Phase-Locked Loop

An Analog Phase-Locked Loop 1 An Analog Phase-Locked Loop Greg Flewelling ABSTRACT This report discusses the design, simulation, and layout of an Analog Phase-Locked Loop (APLL). The circuit consists of five major parts: A differential

More information

Impact of etch factor on characteristic impedance, crosstalk and board density

Impact of etch factor on characteristic impedance, crosstalk and board density IMAPS 2012 - San Diego, California, USA, 45th International Symposium on Microelectronics Impact of etch factor on characteristic impedance, crosstalk and board density Abdelghani Renbi, Arash Risseh,

More information

Pass Transistor and CMOS Logic Configuration based De- Multiplexers

Pass Transistor and CMOS Logic Configuration based De- Multiplexers Abstract: Pass Transistor and CMOS Logic Configuration based De- Multiplexers 1 K Rama Krishna, 2 Madanna, 1 PG Scholar VLSI System Design, Geethanajali College of Engineering and Technology, 2 HOD Dept

More information

+1 (479)

+1 (479) Introduction to VLSI Design http://csce.uark.edu +1 (479) 575-6043 yrpeng@uark.edu Invention of the Transistor Vacuum tubes ruled in first half of 20th century Large, expensive, power-hungry, unreliable

More information

Fast Placement Optimization of Power Supply Pads

Fast Placement Optimization of Power Supply Pads Fast Placement Optimization of Power Supply Pads Yu Zhong Martin D. F. Wong Dept. of Electrical and Computer Engineering Dept. of Electrical and Computer Engineering Univ. of Illinois at Urbana-Champaign

More information

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2)

2009 Spring CS211 Digital Systems & Lab 1 CHAPTER 3: TECHNOLOGY (PART 2) 1 CHAPTER 3: IMPLEMENTATION TECHNOLOGY (PART 2) Whatwillwelearninthischapter? we learn in this 2 How transistors operate and form simple switches CMOS logic gates IC technology FPGAs and other PLDs Basic

More information

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise?

Power Supply Networks: Analysis and Synthesis. What is Power Supply Noise? Power Supply Networs: Analysis and Synthesis What is Power Supply Noise? Problem: Degraded voltage level at the delivery point of the power/ground grid causes performance and/or functional failure Lower

More information