Miniaturization and future prospects of Si devices

Size: px
Start display at page:

Download "Miniaturization and future prospects of Si devices"

Transcription

1 Miniaturization and future prospects of Si devices G-COE PICE International Symposium and IEEE EDS Minicolloquium on Advanced Hybrid Nano Devices: Prospects by World s Leading Scientists October 4, 2011 Hiroshi Iwai, Tokyo Institute of Technology 1

2 First Computer Eniac: made of huge number of vacuum tubes 1946 Big size, huge power, short life time filament dreamed of replacing vacuum tube with solid state device Today's pocket PC made of semiconductor has much higher performance with extremely low power consumption 2

3 Mechanism of MOSFET (Metal Oxide Semiconductor Field Effect Transistor) G Surface Gate electrode Gate Oxd Source Channel Drain S D Electron flow 0 bias for gate Positive bias for gate Surface Potential (Negative direction) 0V Negative N + -Si P-Si 1V N-Si Source Channel Drain 0V N + -Si P-Si 1V N-Si Source Channel Drain

4 1960: First MOSFET by D. Kahng and M. Atalla Top View Si Source Al Gate Al Drain Si SiO 2 Si Si/SiO2 Interface is exceptionally good 4

5 1970,71: 1st generation of LSIs 1kbit DRAM Intel bit MPU Intel

6 2011 Most recent SD Card 6

7 Most Recent SD Card 128GB (Bite) = 128G X 8bit = 1024Gbit = 1.024T(Tera)bit 1T = = Trillion Brain Cell Billion World Population 6 Billion Stars in Galaxy 100 Billion 7

8 Most Recent SD Card 8

9 2.4cm X 3.2cm X 0.21cm Volume 1. 6cm³ Weight 2g Voltage V Old Vacuum Tube 5cm X 5cm X 10cm, 100g,100W 1Tbit = 10k X10k X 10k bit Volume = 0.5km X 0.5km X 1km = 0.25 km 3 = 0.25X10 12 cm 3 Weight = 0.1 kgx10 12 = 0.1X10 9 ton = 100 M ton Power = 0.1kWX10 12 =50 TW Supply Capability of Tokyo Electric Power Company: 55 BW 9

10 So, progress of IC technology is most important for the power saving!

11 Downsizing of the components has been the driving force for circuit evolution Vacuum Transistor IC LSI ULSI Tube 10 cm cm mm 10 µm 100 nm 10-1 m 10-2 m 10-3 m 10-5 m 10-7 m In 100 years, the size reduced by one million times. There have been many devices from stone age. We have never experienced such a tremendous reduction of devices in human history. 11

12 Downsizing 1. Reduce Capacitance Reduce switching time of MOSFETs Increase clock frequency Increase circuit operation speed 2. Increase number of Transistors Parallel processing Increase circuit operation speed Downsizing contribute to the performance increase in double ways Thus, downsizing of Si devices is the most important and critical issue. 12

13 Many people wanted to say about the limit. Past predictions were not correct!! Period Expected Cause limit(size) Late 1970 s 1µm: SCE Early 1980 s 0.5µm: S/D resistance Early 1980 s 0.25µm: Direct tunneling of gate SiO 2 Late 1980 s 0.1µm: 0.1µm brick wall (various) nm: Red brick wall (various) nm: Fundamental? 13

14 14 Qi Xinag, ECS 2004, AMD

15 5 nm gate length CMOS Is a Real Nano Device!! 5nm Length of 18 Si atoms H. Wakabayashi et.al, NEC IEDM,

16 Surface Source Gate electrode Gate Oxd Channel Drain 0 bias for gate Surface Potential (Negative direction) 0V Negative N + -Si P-Si 3nm 1V N-Si Source Channel Drain Transistor cannot be switched off 16

17 Prediction now! Limitation for MOSFET operation Tunneling distance 3 nm Lg = Sub 3 nm? Below this, no one knows future! 17

18 Prediction now! Limitation for MOSFET operation Tunneling distance 3 nm Lg = Sub 3 nm? Ultimate limitation Atom distance 0.3 nm Below this, no one knows future! No one can make a MOSET below this size! 18

19 Question: How far we can go with downscaling?

20 How far can we go for production? Past times per 3 years In 40 years: 18 generations, Size 1/300, Area 1/100,000 Now 10µm 8µm 6µm 4µm 3µm 2µm 1.2µm 0.8µm 0.5µm 0.35µm 0.25µm 180nm 130nm 90nm 65nm 45nm 32nm Future (28nm) 22nm 16nm 11.5 nm 8nm 5.5nm? 4nm? 2.9 nm? At least 4,5 generations to 8nm Hopefully 8 generations to 3nm

21 Subtheshold leakage current of MOSFET Id Ion OFF ON Subthreshould Leakage Current Vg=0V Ioff Subthreshold region Vg Vth (Threshold Voltage) 21

22 Vth cannot be decreased anymore Log scale Id plot Ion significant Ioff increase Ioff Vth: 300mV 100mV Ioff increases with 3.3 decades ( )mV/(60mv/dec) = 3.3 dec Ioff Log Id per unit gate width (= 1µm) Subthreshold slope (SS) = (Ln10)(kT/q)(C ox +C D +C it )/C ox > ~ 60 mv/decade at RT 10-3 A 10-4 A 10-5 A 10-6 A 10-7 A 10-8 A 10-9 A A Vg = 0V Vdd down-scaling Vdd=0.5V Vth down-scaling Vth = 300mV Vth = 100mV SS value: Constant and does not become small with down-scaling Vdd=1.5V Vg (V) 22 22

23 Subtheshold leakage current of MOSFET Id Ion Subthreshold Current Is OK at Single Tr. level Subthreshould Leakage Current OFF ON But not OK For Billions of Trs. Ioff Vg Vg=0V Subthreshold region Vth (Threshold Voltage) 23

24 The limit is deferent depending on application 100 e) Operation Frequency (a.u.) 10 1 Subthreshold Leakage (A/µm) Source: 2007 ITRS Winter Public Conf. 24

25 Scaling Method: by R. Dennard in S 1 Wdep 1 1 D Wdep: Space Charge Region (or Depletion Region) Width Wdep has to be suppressed Otherwise, large leakage between S and D I Leakage current K=0.7 for example K K Wdep Potential in space charge region is high, and thus, electrons in source are attracted to the space charge region. X, Y, Z : K, V : K, Na : 1/K K Wdep V/Na : K I K 0 0 K V 0 0 V 1 By the scaling, Wdep is suppressed in proportion, and thus, leakage can be suppressed. Good scaled I-V characteristics I : K 25 25

26 Down scaling is the most effective way of Power saving. The down scaling of MOSFETs is still possible for another 10 years! 3 important technological items for DS. New materials 1. Thinning of high-k beyond 0.5 nm 2. Metal S/D New structures 3. Wire channel

27 1. High-k beyond 0.5 nm

28 0.8 nm Gate Oxide Thickness MOSFETs operates!! 0.8 nm: Distance of 3 Si atoms!! 28 By Robert Chau, IWGI 2003

29 There is a solution! K: Dielectric Constant To use high k dielectrics Thin gate SiO 2 Thick gate high k dielectrics Thick K=4 Almost the same electric characteristics K=20 Small leakage Current However, very difficult and big challenge! Remember MOSFET had not been realized without Si/SiO 2! 29

30 Choice of High-k elements for oxide Gas or liquid at 1000 K Radio active He B C N O F Ne Unstable at Si interface H Si + MO X M + SiO 2 Li Be Si + MO X MSi X + SiO 2 Na Mg Si + MO X M + MSi X O Y Al Si P S Cl Ar Ca K Sc Ti V Cr Mn Fc Co Ni Cu Zn Ga Ge As Se Br Kr Rh Sr Y Zr Nb Mo Tc Ru Rb Pd Ag Cd In Sn Sb Te I Xe Cs Ba Hf Ta W Re Os Ir Pt Au Hg Tl Pb Bi Po At Rn Fr Ra Rf Ha Sg Ns Hs Mt Candidates La Ce Pr Nd Pm Sm Eu Gd Tb Dy Ho Er Tm Y Lu Ac Th Pa U Np Pu Am Cm Bk Cf Es Fm Md No Lr R. Hauser, IEDM Short Course, 1999 Hubbard and Schlom, J Mater Res (1996) HfO 2 based dielectrics are selected as the first generation materials, because of their merit in 1) band-offset, 2) dielectric constant 3) thermal stability La 2 O 3 based dielectrics are thought to be the next generation materials, which may not need a thicker interfacial layer 30

31 Conduction band offset vs. Dielectric Constant Leakage Current by Tunneling Band offset Si Oxide SiO 2 Band Discontinuity [ev] Dielectric Constant XPS measurement by Prof. T. Hattori, INFOS

32 High k gate insulator MOSFETs for Intel: EOT=1nm HfO 2 based high k PMOS 32

33 Power per MOSFET (P) (Scaling) P L g 3 For the past 45 years SiO2 and SiON For gate insulator Today EOT=1.0nm EOT Limit 0.7~0.8 nm One order of Magnitude 45nm node EOT=0.5nm Metal SiO 2 /SiON Si Metal HfO 2 SiO 2 /SiON Si nm Introduction of High-k Still SiO2 or SiON Is used at Si interface Metal High-k Si Direct Contact Of high-k and Si EOT can be reduced further beyond 0.5 nm by using direct contact to Si By choosing appropriate materials and processes. Now Year 33

34 Cluster tool for high-k thin film deposition Preparation Room Sputter for metal 5 different target Robot room E-Beam Evaporation 8 different target Flash Lamp Anneal Micro to mille-seconds

35 SiO x -IL growth at HfO 2 /Si Interface TEM image 500 o C 30min Intensity (a.u) o C Hf Silicate SiO 2 Si sub Binding energy (ev) Phase separator XPS Si1s spectrum nm W HfO 2 SiO x -IL k=16 k=4 HfO 2 + Si + O 2 HfO 2 + Si + 2O* HfO 2 +SiO 2 SiO x -IL is formed after annealing H. Shimizu, JJAP, 44, pp Oxygen supplied from W gate electrode D.J.Lichtenwalner, Tans. ECS 11, 319 Oxygen control is required for optimizing the reaction 35

36 La-Silicate Reaction at La 2 O 3 /Si Direct contact high-k/si is possible Intensity (a.u) as depo. 300 o C 500 o C XPS Si1s spectra La-silicate Si sub. TEM image 500 o C, 30 min 1 nm W La 2 O 3 La-silicate k=23 k=8~ Binding energy (ev) 1837 La 2 O 3 + Si + no 2 La 2 SiO 5, La 2 Si 2 O 7, La 9.33 Si 6 O 26, La 10 (SiO 4 ) 6 O 3, etc. La 2 O 3 can achieve direct contact of high-k/si 36

37 Current density ( A/cm 2 ) Gate Leakage vs EOT, (Vg= 1 V) Al2O3 1.E+01 HfAlO(N) HfO2 HfO2 1.E+00 HfSiO(N) HfTaO La2O3 La2O3 1.E-01 Nd2O3 Pr2O3 1.E-02 PrSiO PrTiO 1.E-03 SiON/SiN Sm2O3 1.E-04 SrTiO3 Ta2O5 TiO2 1.E-05 ZrO2(N) ZrSiO EOT ( nm ) ZrAlO(N) 37

38 La 2 O 3 at 300 o C process make sub-0.4 nm EOT MOSFET EOT=0.37nm EOT=0.37nm EOT=0.40nm EOT=0.48nm I d (V) 3.5E E E E E-03 Vg=0V Vg=0.2V Vg=0.4V Vg=0.6V Vg=0.8V Vg=1.0V Vg=1.2V W/L = 50µm /2.5µm Vth=-0.06V Vg=0V Vg=0.2V Vg=0.4V Vg=0.6V Vg=0.8V Vg=1.0V Vg=1.2V W/L = 50µm /2.5µm Vth=-0.05V Vg=0V Vg=0.2V Vg=0.4V Vg=0.6V Vg=0.8V Vg=1.0V Vg=1.2V W/L = 50µm /2.5µm Vth=-0.04V 1.0E E E V d (V) V d (V) V d (V) nm Increase of Id at 30% 38

39 Capacitance [µf/cm 2 ] However, high-temperature anneal is necessary for the good interfacial property FGA500 o C 30min FGA700 o C 30min FGA800 o C 30min x 20µm kHz 100kHz 1MHz Capacitance [µf/cm 2 ] x 20µm 2 10kHz 100kHz 1MHz Capacitance [µf/cm 2 ] x 20µm 2 10kHz 100kHz 1MHz Gate Voltage [V] Gate Voltage [V] Gate Voltage [V] A fairly nice La-silicate/Si interface can be obtained with high temperature annealing. (800 o C) 39

40 Charge pumping current [A] D it = 2 x [cm -2 /ev] 500 o C 700 o C 800 o C Pulse input D it = 5 x [cm -2 /ev] D it = 1.6 x [cm -2 /ev] Frequency [Hz] Electron Mobility [cm 2 /Vsec] FGA 800 o C FGA 700 o C FGA 500 o C E eff [MV/cm] Universal EOT~1.3nm T = 300K N sub = 3 x cm A small D it of 1.6x10 11 cm -2 /ev, results in better electron mobility. 40

41 Physical mechanisms for small Dit silicate-reaction-formed fresh interface stress relaxation at interface by glass type structure of La silicate. metal La 2 O 3 Si Si sub. Si metal La-silicate Si sub. La atom La-O-Si bonding Si sub. SiO 4 tetrahedron network Fresh interface with silicate reaction FGA800 o C is necessary to reduce the interfacial stress J. S. Jur, et al., Appl. Phys. Lett., Vol. 87, No. 10, (2007) p S. D. Kosowsky, et al., Appl. Phys. 41Lett., Vol. 70, No. 23, (1997) pp. 3119

42 EOT growth suppression by Si coverage Si Gate-Channel Capacitance [µf/cm 2 ] 4 EOT=0.71nm FGA 800 o C 30min 3 L / W = 20 / 20µm EOT=1.02nm 2 EOT=1.63nm 1 at 1MHz Gate Voltage [V] TiN W La-silicate Si sub. TiN W La-silicate Si sub. W La-silicate Si sub. Drain Current [A] V ds = 0.05V 65~70mV/dec EOT = 0.71nm EOT = 1.02nm EOT = 1.63nm L / W = 2.5 / 50µm V g - V th [V] Increasing EOT caused by high temperature annealing can be dramatically suppressed by Silicon masked stacks 42

43 La2O3 W TiN/W Si/TiN/W MIPS MG HK Si 2nm 2nm 2nm K av ~ 8 K av ~ 12 K av ~ 16 No interfacial layer can be confirmed with Si/TiN/W 43

44 nmosfet with EOT of 0.62nm Gate-Channel Capacitance [µf/cm 2 ] FGA 800 o C 30min L / W = 10 / 10µm kHz 100kHz 1MHz Gate Voltage [V] EOT=0.62nm No frequency dispersion 1 Electron Mobility [cm 2 /Vsec] EOT=0.62nm T = 300K N sub = 3 x cm -3 L / W = 10 / 10µm E eff [MV/cm] 1.5 EOT of 0.62nm and 155 cm 2 /Vsec at 1MV/cm can be achieved 44

45 J g at V g = 1V [A/cm 2 ] Benchmark of La-silicate dielectrics 0.5 MIPS Stacks 0.55 ITRS requirements EOT [nm] A = 10 x 10µm Gate leakage is two orders of magnitude lower than that of ITRS 0.8 Electron Mobility [cm 2 /Vsec] This work (MIPS Stacks) EOT [nm] T. Ando et al., IEDM2009 at 1MV/cm T = 300K Open : Hf-based oxides Electron mobility is comparable to record mobility with Hf-based oxides 45

46 Metal (Silicide) S/D

47 Extreme scaling in MOSFET L phy - Dopant abruptness at S/D -V t and I ON variation - GIDL Dopant Conc. n + -Si δ σ Gate δ σ n + -Si Metal Schottky S/D junctions S Channel D - Atomically abrupt junction - Lowering S/D resistances - Low temperature process for S/D Metal Conc. Metal Silicide L phy = L eff Gate Metal Silicide Schottky Barrier FET is a strong candidate for extremely scaled MOSFET S Channel D

48 Surface or interface control Diffusion species: metal atom (Ni, Co) Rough interface at silicide/si - Excess silicide formation - Different φ Bn presented at interface - Process temperature dependent composition Diffusion species: Si atom (Ti) Surface roughness increases - Line dependent resistivity change Annealing: 650 o C Si(001) sub. Epitaxial NiSi 2 O. Nakatsuka et al., Microelectron. Eng., 83, 2272 (2006). Top view Line width of 0.1 µm H. Iwai et al., Microelectron. Eng., 60, 157 (2002). 48

49 Unwanted leakage current - Edge leakage current at periphery - Generation current due to defects in substrate Variable leakage current in smaller contact Specification for metal silicide S/D Annealing: 500 o C Length of a contact side (µm) - Atomically flat interface with smooth surface - Suppressed leakage current - Stability of silicide phase and interface in a wide process temperature Current density (A/cm 2 ) V app = -0.2V φ Bn = ~0.57 ev Ni silicide/si diodes 49

50 Deposition of Ni film Si substrate Annealing Ni-silicide Si substrate Rough interface Deposition from NiSi 2 source Si substrate Annealing Ni-silicide Si substrate No Si substrate consumption Flat interface Deposition of Ni-Si mixed films from NiSi 2 source - No consumption of Si atoms from substrate - No structural size effect in silicidation process - Stable in a wide process temperature range 50

51 - n-type Si substrate, Si(100) with 400 nm SiO 2 isolation Doping concentration : 3x10 15 cm -3 SPM and HF cleaning Ni source Diode patterning by photolithography and BHF etching of SiO 2 Deposition of 10-nm-thick NiSi 2 and Ni sources by RF sputtering in Ar atmosphere Ni silicidation by Rapid Thermal Annealing (RTA) in N 2 atmosphere Al contact deposition on substrate backside by thermal evaporation - Measurement of electrical characteristics - SEM and TEM observation - XRD and XPS analysis Si substrate Al contact SiO 2 NiSi 2 source Si substrate Al contact Schottky diode structures 51

52 SEM views of silicide/si interfaces Ni source (50nm) NiSi 2 source (50nm) Ni source NiSi 2 source Ni-silicide Ni-silicide STI rough 500nm STI 600 o C, 1min flat 500nm Si substrate Ni source STI Si substrate STI rough 500nm STI 700 o C, 1min flat 500nm - Rough interfaces - Consumed Si substrate - Thickness increase ~100 nm NiSi 2 source STI rough 500nm STI 800 o C, 1min flat 500nm - Atomically flat interfaces - No Si consumption - Temperature-independent 52

53 Ni source Si substrate Al contact NiSi 2 source Si substrate Al contact Schottky diode structures NiSi 2 source Current Diode current density (A/cm 2 2 ) ) RTA 500 o C, 1min Source φ Bn (ev) n Ni NiSi Ni Generation Leakage current current NiSi Applied Voltage (V) Diode voltage (V) Ideal characteristics (n = 1.00, suppressed leakage current) Suppressed reverse leakage current - Flat interface and No Si substrate consumption - No defects in Si substrate 53

54 as-deposited Ni source Ni-silicide Ni-rich 300 o C 550 o C 800 o C Agglomeration NiSi NiSi NiSi 2 Si substrate Si substrate Si substrate Si substrate Si substrate NiSi 2 source amorphous Ni-rich+a-NiSi 2 Ni-rich+a-NiSi 2 Ni-rich+a-NiSi 2 NiSi 2 NiSi 2 NiSi 2 NiSi 2 Si substrate Si substrate Si substrate Si substrate Si substrate - Ni-rich phases in the silicide layer are maintained with NiSi 2 source - No distinct structure change at the interface Stable φ Bn and n-factor No structural effect for silicidation 54

55 Wire channel

56 Suppression of subthreshold leakage by surrounding gate structure 0V 0V 0V G 1V 0V G 1V S S 0V D 0V V 1V 0V G 0V Planar Surrounding gate 56

57 Because of off-leakage control, Planar Nanowire S Wdep 1 D 0V G S 0V D 0V 1V G 0V Leakage current Source Gate Drain Planar FET Fin FET Nanowire FET

58 Nanowire structures in a wide meaning G G G G G Fin Tri-gate Ω-gate Nanowire 58

59 Nanowire FET or 16nm node 11 or 8nm node Multiple Gate (Fin) FET Nanowire FET ITRS 2009 Bulk Fin Nanowire Bulk or SOI Fin Si Si Nanowire

60 Si nanowire FET as a strong candidate 1. Compatibility with current CMOS process 2. Good controllability of I OFF S Leakage current Wdep 1 D cut-off Off 3. High drive current source Drain Gate:OFF Gate: OFF drain Source 1D ballistic conduction Multi quantum Channel E Quantum channel Quantum channel Quantum channel k Quantum channel High integration of wires 60

61 Increase the Number of quantum channels By Prof. Shiraishi of Tsukuba univ. 4 channels can be used Eg Eg Energy band of Bulk Si Energy band of 3 x 3 Si wire 61

62 Si/Si 0.8 Ge 0.2 superlattice epitaxy on SOI SiN HM SiN SiGe Si SiGe Si SiGe Si BOX Device fabrication Anisotropic etching of these layers BOX Isotropic etching of SiGe BOX ( ) The NW diameter is controllable down to 5 nm by self limited oxidation. Gate depositions HfO 2 (3nm) TiN (10nm) Poly-Si (200nm) Gate Gate etching Gate S/D implantation Spacer formation Activation anneal Salicidation Standard Back-End of-line Process BOX BOX Process Details : C. Dupre et al., IEDM Tech. Dig., p.749,

63 3D-stacked Si NWs with Hi-k/MG Top view Cross-section SiN HM Source Gate Drain 500 nm <110> Wire direction : <110> 50 NWs in parallel 3 levels vertically-stacked Total array of 150 wires EOT ~2.6 nm C. Dupre et al., IEDM Tech. Dig., p.749, nm NWs BOX 8

64 SiNW FET Fabrication S/D & Fin Patterning Sacrificial Oxidation 30nm Oixde etch back 30nm SiN sidewall support formation 30nm Gate Oxidation & Poly-Si Deposition Gate Lithography & RIE Etching Gate Sidewall Formation Ni SALISIDE Process (Ni 9nm / TiN 10nm) Backend Standard recipe for gate stack formation

65 (a) SEM image of Si NW FET (Lg = 200nm) (b) high magnification observation of gate and its sidewall. 65

66 Recent results to be presented by ESSDERC 2010 next week in Sevile Wire cross-section: 20 nm X 10 nm 7.E E E E E E E E+00 (µa)70 Drain Current (a) V g -V th =1.0 V 0.8 V 0.6 V V g -V th = -1.0 V 0.4 V 0.2 V Drain Voltage (V) On/Off> uA/wire 1.E-03 1.E E E E E E E E E Drain Current (A) (b) V d =-1V V d =-50mV pfet nfet Gate Voltage (V) L g =65nm, T ox =3nm V d =1V V d =50mV

67 Bench Mark I ON (µa / wire) nmos pmos (34) (13) (10) 102µA (16) (12) (13x20) (8) (8) (10) (10x20) (9x14) (12) (12x19) (12) (12x19) (10) V DD : 1.0~1.5 V (5) (5) (10) (10) (3) (3) (30) (19) Gate Length (nm) Our Work

68 I ON /I OFF OFF Bench mark Planer FET V S. Kamiyama, IEDM 2009, p. 431 P. Packan, IEDM 2009, p.659 L g =500 65nm This work Si FET V Y. Jiang, VLSI 2008, p.34 H.-S. Wong, VLSI 2009, p.92 S. Bangsaruntip, IEDM 2009, p.297 C. Dupre, IEDM 2008, p. 749 S.D.Suk, IEDM 2005, p.735 G.Bidel, VLSI 2009, p.240

69

70 Electron Density (x10 19 cm -3 ) 6 6.E E E E E E E+00 Edge portion Flat portion Distance from SiNW Surface (nm)

71 Primitive estimation! I ON (µa/µm) P-MOS pmos improvement (26) S/D Low resistance (11) (20) (15) bulk Compact model Small EOT for high-k (33) 1µm # of wires /1µm FD SiNW (12nm 19nm) I ON Nanowire Assumption I ON L g -0.5 T ox -1 ITRS MG Year

72 Intel announcement 72

73 73

74 Our roadmap for R &D Source: H. Iwai, IWJT 2008 Current Issues Si Nanowire Control of wire surface property Source Drain contact Optimization of wire diameter Compact I-V model III-V & Ge Nanowire High-k gate insulator Wire formation technique CNT: Growth and integration of CNT Width and Chirality control Chirality determines conduction types: metal or semiconductor Graphene: Graphene formation technique Suppression of off-current Very small bandgap or no bandgap (semi-metal) Control of ribbon edge structure which affects bandgap 74

75 Thank you for your attention! 75

Past and future for micro- and nano-electronics, focusing on Si integrated circuits technology

Past and future for micro- and nano-electronics, focusing on Si integrated circuits technology Past and future for micro- and nano-electronics, focusing on Si integrated circuits technology June 2, 2008 @National Technical University of Athens Hiroshi Iwai, Toyo Institute of Technology Needless

More information

#2653 Introduction of New Materials into CMOS Devices

#2653 Introduction of New Materials into CMOS Devices #2653 Introduction of New Materials into CMOS Devices ESC Symp on Purity Silicon(E6) 8:30 9:00 am, October, 10, 2012 @Rm 320, Level 3, Hawaiian Convention Center, Honolulu, Hawaii Hiroshi Iwai Frontier

More information

Downsizing of transistors towards its Limit

Downsizing of transistors towards its Limit Downsizing of transistors towards its Limit March 6, 2009 @Bengal Institute of Technology & Management Hiroshi Iwai, Tokyo Institute of Technology 1 There were many inventions in the 20 th century: Airplane,

More information

Application Fields. Portable Electronics (PC, PDA, Wireless) IC Cost (Packaging and Cooling) Reliability (Electromigration, Latchup)

Application Fields. Portable Electronics (PC, PDA, Wireless) IC Cost (Packaging and Cooling) Reliability (Electromigration, Latchup) PROCESS STEPS Application Fields Portable Electronics (PC, PDA, Wireless) IC Cost (Packaging and Cooling) Reliability (Electromigration, Latchup) Signal Integrity (Switching Noise, DC Voltage Drop) Thermal

More information

Futur of Nano CMOS Technology

Futur of Nano CMOS Technology January 2, 2014, At IISc Bangalore Futur of Nano CMOS Technology Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 1. Back ground for nano-cmos 2 First Computer Eniac: made of huge

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

Futur of Nano CMOS Technology

Futur of Nano CMOS Technology January 20,2014, DL Talk@ IIT-Bombay, Mumbai, India Futur of Nano CMOS Technology Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 Back ground for nano-electronics 2 1900 Electronics

More information

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration Soshi Sato 1, Hideyuki Kamimura 1, Hideaki Arai 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kenji Ohmori 3, Keisaku

More information

Future of Nano CMOS Technology

Future of Nano CMOS Technology May 26, 2014, IEEE EDS MQ at KTH, Kista, Stockholm, Sweden Future of Nano CMOS Technology Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 Back ground for nano-electronics 2 Feature

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

New Materials and Structures for Sub-10 nm CMOS Devices

New Materials and Structures for Sub-10 nm CMOS Devices May 18, 2014, At Fudan University, Shanghai, China New Materials and Structures for Sub-10 nm CMOS Devices Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 Back ground for nano-electronics

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

Future of Nano CMOS Technology

Future of Nano CMOS Technology July 4, 2014, MQ, Dalian, China Future of Nano CMOS Technology Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 Back ground for nano-electronics 2 Feature Size / Technology Node (1970)

More information

Future of Nano-CMOS Technology

Future of Nano-CMOS Technology April 7,2014, at INAOE, Puebla, Mexico Future of Nano-CMOS Technology Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 Back ground for nano-electronics 2 Feature Size / Technology

More information

Future of Nano-CMOS Technology

Future of Nano-CMOS Technology January 20,2014, DL Talk at URV (Universitat Rovira i Virgili), Tarragona, Spain Future of Nano-CMOS Technology Hiroshi Iwai Frontier Research Center Tokyo Institute of Technology 1 Back ground for nano-electronics

More information

EE669: VLSI TECHNOLOGY

EE669: VLSI TECHNOLOGY EE669: VLSI TECHNOLOGY Autumn Semester Graduate Course 2014-2015 Session by Arun N. Chandorkar Emeritus Fellow Professor Department of Electrical Engineering Indian Institute of Technology, Bombay Powai,

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

Logic LSI Technology Roadmap for 22nm and beyond

Logic LSI Technology Roadmap for 22nm and beyond Logic LSI Technology Roadmap for 22nm and beyond July 8, 2009 IPFA 2009@Suzhou, China Hiroshi Iwai Tokyo Institute of Technology 1 Outline 1. Scaling 2. ITRS Roadmap 3. Voltage Scaling/ Low Power and Leakage

More information

Future of nano CMOS Technology

Future of nano CMOS Technology Future of nano CMOS Technology January 9, 2013 IEEE EDS DL@VIT, Vellore, India Hiroshi Iwai, Tokyo Institute of Technology 1 1900 Electronics started. Device: Vacuum tube Device feature size: 10 cm Major

More information

Technology Roadmap for 22nm CMOS and beyond

Technology Roadmap for 22nm CMOS and beyond Technology Roadmap for 22nm CMOS and beyond June 1, 2009 IEDST 2009@IIT-Bombay Hiroshi Iwai Tokyo Institute of Technology 1 Outline 1. Scaling 2. ITRS Roadmap 3. Voltage Scaling/ Low Power and Leakage

More information

CMOS Scaling Beyond FinFETs: Nanowires and TFETs

CMOS Scaling Beyond FinFETs: Nanowires and TFETs SEMATECH Symposium June 23, 2011 Tokyo Accelerating the next technology revolution CMOS Scaling Beyond FinFETs: Nanowires and TFETs Chris Hobbs, Wei-Yip Loh, Kerem Akarvardar, Paul Kirsch, and Raj Jammy

More information

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor

This Week s Subject. DRAM & Flexible RRAM. p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor DRAM & Flexible RRAM This Week s Subject p-channel MOSFET (PMOS) CMOS: Complementary Metal Oxide Semiconductor CMOS Logic Inverter NAND gate NOR gate CMOS Integration & Layout GaAs MESFET (JFET) 1 Flexible

More information

Design and Analysis of Double Gate MOSFET Devices using High-k Dielectric

Design and Analysis of Double Gate MOSFET Devices using High-k Dielectric International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 53-60 International Research Publication House http://www.irphouse.com Design and Analysis of Double Gate

More information

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices

III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices III-V Vertical Nanowire FETs with Steep Subthreshold Towards Sub-10 nm Diameter Devices Jesús A. del Alamo, Xin Zhao, Wenjie Lu, Alon Vardi Microsystems Technology Laboratories, MIT E 3 S Retreat September

More information

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) 3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) Pei W. Ding, Kristel Fobelets Department of Electrical Engineering, Imperial College London, U.K. J. E. Velazquez-Perez

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

Roadmap for 22nm Logic CMOS and Beyond

Roadmap for 22nm Logic CMOS and Beyond Roadmap for 22nm Logic CMOS and Beyond March 5, 2009 @Bengal Engineering Science Technology Hiroshi Iwai Tokyo Institute of Technology 1 Outline 1. Scaling 2. ITRS Roadmap 3. Voltage Scaling/ Low Power

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

bvparm2006.cif bvparm2006.cif Printed by Ram Seshadri

bvparm2006.cif bvparm2006.cif Printed by Ram Seshadri Jan 19, 09 9:48 Page 1/26 ACCUMULATED TABLE OF BOND VALENCE PARAMETERS Data_BOND_VALENCE_PARAMETERS_2006 05 02 bvparm2006.cif BVPARM.CIF _audit_conform_dict_name cif_core.dic _audit_conform_dict_version

More information

2014, IJARCSSE All Rights Reserved Page 1352

2014, IJARCSSE All Rights Reserved Page 1352 Volume 4, Issue 3, March 2014 ISSN: 2277 128X International Journal of Advanced Research in Computer Science and Software Engineering Research Paper Available online at: www.ijarcsse.com Double Gate N-MOSFET

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS Tsu-Jae King, Yang-Kyu Choi, Pushkar Ranade^ and Leland Chang Electrical Engineering and Computer Sciences Dept., ^Materials Science and Engineering

More information

InGaAs MOSFETs for CMOS:

InGaAs MOSFETs for CMOS: InGaAs MOSFETs for CMOS: Recent Advances in Process Technology J. A. del Alamo, D. Antoniadis, A. Guo, D.-H. Kim 1, T.-W. Kim 2, J. Lin, W. Lu, A. Vardi and X. Zhao Microsystems Technology Laboratories,

More information

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Workshop on Frontiers of Extreme Computing Santa Cruz, CA October 24, 2005 ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Peter M. Zeitzoff Outline Introduction MOSFET scaling and

More information

Alternative Channel Materials for MOSFET Scaling Below 10nm

Alternative Channel Materials for MOSFET Scaling Below 10nm Alternative Channel Materials for MOSFET Scaling Below 10nm Doug Barlage Electrical Requirements of Channel Mark Johnson Challenges With Material Synthesis Introduction Outline Challenges with scaling

More information

Challenges and Innovations in Nano CMOS Transistor Scaling

Challenges and Innovations in Nano CMOS Transistor Scaling Challenges and Innovations in Nano CMOS Transistor Scaling Tahir Ghani Intel Fellow Logic Technology Development October, 2009 Nikkei Presentation 1 Outline Traditional Scaling Traditional Scaling Limiters,

More information

Semiconductor Physics and Devices

Semiconductor Physics and Devices Nonideal Effect The experimental characteristics of MOSFETs deviate to some degree from the ideal relations that have been theoretically derived. Semiconductor Physics and Devices Chapter 11. MOSFET: Additional

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing Transistor Elements for 30nm Physical Gate Length and Beyond A compiled version

More information

Nano CMOS Technology. Hiroshi Iwai, Tokyo Institute of Technology. September 15, IEEE EDS Distinguished Lecture

Nano CMOS Technology. Hiroshi Iwai, Tokyo Institute of Technology. September 15, IEEE EDS Distinguished Lecture Nano CMOS Technology September 15, 2014 IEEE EDS Distinguished Lecture @Universidad Santo Tomas in Tunja, Colombia Hiroshi Iwai, Tokyo Institute of Technology 1 Outline 1. Introduction 2. Current status

More information

Future MOSFET Devices using high-k (TiO 2 ) dielectric

Future MOSFET Devices using high-k (TiO 2 ) dielectric Future MOSFET Devices using high-k (TiO 2 ) dielectric Prerna Guru Jambheshwar University, G.J.U.S. & T., Hisar, Haryana, India, prernaa.29@gmail.com Abstract: In this paper, an 80nm NMOS with high-k (TiO

More information

MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University

MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University MSE 410/ECE 340: Electrical Properties of Materials Fall 2016 Micron School of Materials Science and Engineering Boise State University Practice Final Exam 1 Read the questions carefully Label all figures

More information

Tunneling Field Effect Transistors for Low Power ULSI

Tunneling Field Effect Transistors for Low Power ULSI Tunneling Field Effect Transistors for Low Power ULSI Byung-Gook Park Inter-university Semiconductor Research Center and School of Electrical and Computer Engineering Seoul National University Outline

More information

CMOS Scaling and Variability

CMOS Scaling and Variability WIMNACT WS & IEEE EDS Mini-colloquim on Nano-CMOS Technology January 3, 212, TITECH, Japan CMOS Scaling and Variability 212. 1. 3 NEC Tohru Mogami WIMNACT WS 212, January 3, Titech 1 Acknowledgements I

More information

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness MIT International Journal of Electronics and Communication Engineering, Vol. 4, No. 2, August 2014, pp. 81 85 81 Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness Alpana

More information

Nanoscale III-V CMOS

Nanoscale III-V CMOS Nanoscale III-V CMOS J. A. del Alamo Microsystems Technology Laboratories Massachusetts Institute of Technology SEMI Advanced Semiconductor Manufacturing Conference Saratoga Springs, NY; May 16-19, 2016

More information

Reconfigurable Si-Nanowire Devices

Reconfigurable Si-Nanowire Devices Reconfigurable Si-Nanowire Devices André Heinzig, Walter M. Weber, Dominik Martin, Jens Trommer, Markus König and Thomas Mikolajick andre.heinzig@namlab.com log I d Present CMOS technology ~ 88 % of IC

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 Transistor Scaling in the Innovation Era Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 MOSFET Scaling Device or Circuit Parameter Scaling Factor Device dimension tox, L, W

More information

LSI ON GLASS SUBSTRATES

LSI ON GLASS SUBSTRATES LSI ON GLASS SUBSTRATES OUTLINE Introduction: Why System on Glass? MOSFET Technology Low-Temperature Poly-Si TFT Technology System-on-Glass Technology Issues Conclusion System on Glass CPU SRAM DRAM EEPROM

More information

Optimization of Direct Tunneling Gate Leakage Current in Ultrathin Gate Oxide FET with High-K Dielectrics

Optimization of Direct Tunneling Gate Leakage Current in Ultrathin Gate Oxide FET with High-K Dielectrics Optimization of Direct Tunneling Gate Leakage Current in Ultrathin Gate Oxide FET with High-K Dielectrics Sweta Chander 1, Pragati Singh 2, S Baishya 3 1,2,3 Department of Electronics & Communication Engineering,

More information

Chapter 15 Summary and Future Trends

Chapter 15 Summary and Future Trends Chapter 15 Summary and Future Trends Hong Xiao, Ph. D. hxiao89@hotmail.com www2.austin.cc.tx.us/hongxiao/book.htm Hong Xiao, Ph. D. www2.austin.cc.tx.us/hongxiao/book.htm 1 The 1960s First IC product Bipolar

More information

2.8 - CMOS TECHNOLOGY

2.8 - CMOS TECHNOLOGY CMOS Technology (6/7/00) Page 1 2.8 - CMOS TECHNOLOGY INTRODUCTION Objective The objective of this presentation is: 1.) Illustrate the fabrication sequence for a typical MOS transistor 2.) Show the physical

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si III-V on Si for VLSI Accelerating the next technology revolution 200 mm III-V on Si III-V nfet on 200 mm Si R. Hill, C. Park, J. Barnett, J. Huang, N. Goel, J. Oh, W.Y. Loh, J. Price, P. Kirsch, P, Majhi,

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate

Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate Bich-Yen Nguyen, Anne Vandooren, Aaron Thean, Sriram Kalpat, Melissa Zavala, Jeff Finder, Ted White, Skip Egley, Jamie Schaeffer,

More information

Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials

Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials Semiconductor Materials for Power Electronics (SEMPEL) GaN power electronics materials Kjeld Pedersen Department of Physics and Nanotechnology, AAU SEMPEL Semiconductor Materials for Power Electronics

More information

SUPPLEMENTARY INFORMATION

SUPPLEMENTARY INFORMATION SUPPLEMENTARY INFORMATION doi:10.1038/nature11293 1. Formation of (111)B polar surface on Si(111) for selective-area growth of InGaAs nanowires on Si. Conventional III-V nanowires (NWs) tend to grow in

More information

Semiconductor TCAD Tools

Semiconductor TCAD Tools Device Design Consideration for Nanoscale MOSFET Using Semiconductor TCAD Tools Teoh Chin Hong and Razali Ismail Department of Microelectronics and Computer Engineering, Universiti Teknologi Malaysia,

More information

Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors

Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors IJSTE - International Journal of Science Technology & Engineering Volume 2 Issue 5 November 2015 ISSN (online): 2349-784X Comparative Study of Silicon and Germanium Doping-less Tunnel Field Effect Transistors

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

Performance Evaluation of MISISFET- TCAD Simulation

Performance Evaluation of MISISFET- TCAD Simulation Performance Evaluation of MISISFET- TCAD Simulation Tarun Chaudhary Gargi Khanna Rajeevan Chandel ABSTRACT A novel device n-misisfet with a dielectric stack instead of the single insulator of n-mosfet

More information

MOSFET & IC Basics - GATE Problems (Part - I)

MOSFET & IC Basics - GATE Problems (Part - I) MOSFET & IC Basics - GATE Problems (Part - I) 1. Channel current is reduced on application of a more positive voltage to the GATE of the depletion mode n channel MOSFET. (True/False) [GATE 1994: 1 Mark]

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

Tokyo Institute of Technology, Yokohama , Japan

Tokyo Institute of Technology, Yokohama , Japan Impact of Thin Insertion for MOSFET K. Kakushima a, K. Okamoto b, M. Adachi b, K. Tachi b, S. Sato b, T. Kawanago b, J. Song b, P. Ahmet b, N. Sugii a, K. Tsutsui a, T. Hattori b and H. Iwai b a Interdisciplinary

More information

High performance Hetero Gate Schottky Barrier MOSFET

High performance Hetero Gate Schottky Barrier MOSFET High performance Hetero Gate Schottky Barrier MOSFET Faisal Bashir *1, Nusrat Parveen 2, M. Tariq Banday 3 1,3 Department of Electronics and Instrumentation, Technology University of Kashmir, Srinagar,

More information

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION Journal of Electron Devices, Vol. 18, 2013, pp. 1537-1542 JED [ISSN: 1682-3427 ] DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION Suman Lata Tripathi and R. A.

More information

NW-NEMFET: Steep Subthreshold Nanowire Nanoelectromechanical Field-Effect Transistor

NW-NEMFET: Steep Subthreshold Nanowire Nanoelectromechanical Field-Effect Transistor NW-NEMFET: Steep Subthreshold Nanowire Nanoelectromechanical Field-Effect Transistor Jie Xiang Electrical and Computer Engineering and Materials Science Engineering University of California, San Diego

More information

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Record Extrinsic Transconductance (2.45 ms/μm at = 0.5 V) InAs/In 0.53 Ga 7 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Sanghoon Lee 1*, C.-Y. Huang 1, A. D. Carter 1, D. C. Elias 1, J. J. M.

More information

ECE 340 Lecture 37 : Metal- Insulator-Semiconductor FET Class Outline:

ECE 340 Lecture 37 : Metal- Insulator-Semiconductor FET Class Outline: ECE 340 Lecture 37 : Metal- Insulator-Semiconductor FET Class Outline: Metal-Semiconductor Junctions MOSFET Basic Operation MOS Capacitor Things you should know when you leave Key Questions What is the

More information

Semiconductor Physics and Devices

Semiconductor Physics and Devices Metal-Semiconductor and Semiconductor Heterojunctions The Metal-Oxide-Semiconductor Field-Effect Transistor (MOSFET) is one of two major types of transistors. The MOSFET is used in digital circuit, because

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches

Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches University of Pennsylvania From the SelectedWorks of Nipun Sinha 29 Body-Biased Complementary Logic Implemented Using AlN Piezoelectric MEMS Switches Nipun Sinha, University of Pennsylvania Timothy S.

More information

32nm Technology and Beyond

32nm Technology and Beyond 32nm Technology and Beyond Paolo Gargini Chairman ITRS IEEE Fellow Director of Technology Strategy Intel Fellow ISS Europe 2009 P. Gargini 1 Agenda Equivalent Scaling 45nm Technology summary 32nm Technology

More information

Carbon Nanotube Bumps for Thermal and Electric Conduction in Transistor

Carbon Nanotube Bumps for Thermal and Electric Conduction in Transistor Carbon Nanotube Bumps for Thermal and Electric Conduction in Transistor V Taisuke Iwai V Yuji Awano (Manuscript received April 9, 07) The continuous miniaturization of semiconductor chips has rapidly improved

More information

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors Supplementary Information Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors J. A. Caraveo-Frescas and H. N. Alshareef* Materials Science and Engineering, King

More information

NAME: Last First Signature

NAME: Last First Signature UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE 130: IC Devices Spring 2003 FINAL EXAMINATION NAME: Last First Signature STUDENT

More information

Performance advancement of High-K dielectric MOSFET

Performance advancement of High-K dielectric MOSFET Performance advancement of High-K dielectric MOSFET Neha Thapa 1 Lalit Maurya 2 Er. Rajesh Mehra 3 M.E. Student M.E. Student Associate Prof. ECE NITTTR, Chandigarh NITTTR, Chandigarh NITTTR, Chandigarh

More information

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5 Eigen # Gate Gate Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET Lecture 5 Thin-Body MOSFET Carrier Transport quantum confinement effects low-field mobility: Orientation and Si Thickness

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

4H-SiC V-Groove Trench MOSFETs with the Buried p + Regions

4H-SiC V-Groove Trench MOSFETs with the Buried p + Regions ELECTRONICS 4H-SiC V-Groove Trench MOSFETs with the Buried p + Regions Yu SAITOH*, Toru HIYOSHI, Keiji WADA, Takeyoshi MASUDA, Takashi TSUNO and Yasuki MIKAMURA ----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann*, K. Johnson#,

More information

Advanced PDK and Technologies accessible through ASCENT

Advanced PDK and Technologies accessible through ASCENT Advanced PDK and Technologies accessible through ASCENT MOS-AK Dresden, Sept. 3, 2018 L. Perniola*, O. Rozeau*, O. Faynot*, T. Poiroux*, P. Roseingrave^ olivier.faynot@cea.fr *Cea-Leti, Grenoble France;

More information

Characterization of SOI MOSFETs by means of charge-pumping

Characterization of SOI MOSFETs by means of charge-pumping Paper Characterization of SOI MOSFETs by means of charge-pumping Grzegorz Głuszko, Sławomir Szostak, Heinrich Gottlob, Max Lemme, and Lidia Łukasiak Abstract This paper presents the results of charge-pumping

More information

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha

ECE520 VLSI Design. Lecture 2: Basic MOS Physics. Payman Zarkesh-Ha ECE520 VLSI Design Lecture 2: Basic MOS Physics Payman Zarkesh-Ha Office: ECE Bldg. 230B Office hours: Wednesday 2:00-3:00PM or by appointment E-mail: pzarkesh@unm.edu Slide: 1 Review of Last Lecture Semiconductor

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

Sustaining the Si Revolution: From 3D Transistors to 3D Integration

Sustaining the Si Revolution: From 3D Transistors to 3D Integration Sustaining the Si Revolution: From 3D Transistors to 3D Integration Tsu Jae King Liu Department of Electrical Engineering and Computer Sciences University of California, Berkeley, CA USA February 23, 2015

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP)

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP) Science in China Series E: Technological Sciences 2009 SCIENCE IN CHINA PRESS www.scichina.com tech.scichina.com Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets

More information

INTRODUCTION: Basic operating principle of a MOSFET:

INTRODUCTION: Basic operating principle of a MOSFET: INTRODUCTION: Along with the Junction Field Effect Transistor (JFET), there is another type of Field Effect Transistor available whose Gate input is electrically insulated from the main current carrying

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Fully Depleted Devices

Fully Depleted Devices 4 Fully Depleted Devices FDSOI and FinFET Bruce Doris, Ali Khakifirooz, Kangguo Cheng, and Terence Hook CONTENTS 4.1 Overview... 71 4.2 Introduction: Challenges of Conventional CMOS Technology...72 4.3

More information