Technology Options for 22nm and Beyond

Size: px
Start display at page:

Download "Technology Options for 22nm and Beyond"

Transcription

1 Technology Options for 22nm and Beyond Intel Fellow Intel Corporation Kelin J. Kuhn Director of Advanced Device Technology Kelin Kuhn / IWJT / Shanghai /

2 AGENDA Scaling Gate control Mobility Resistance Capacitance Summary Kelin Kuhn / IWJT / Shanghai /

3 AGENDA Scaling Gate control Mobility Resistance Capacitance Summary Kelin Kuhn / IWJT / Shanghai /

4 MOSFET Scaling Device or Circuit Parameter Scaling Factor Device dimension tox, L, W 1/κ Doping concentration Na κ Voltage V 1/κ Current I 1/κ Capacitance εa/t 1/κ Delay time/circuit VC/I 1/κ Power dissipation/circuit VI 1/κ 2 Power density VI/A 1 R. Dennard, IEEE JSSC, 1974 Classical MOSFET scaling was first described by Dennard in 1974 Kelin Kuhn / IWJT / Shanghai /

5 MOSFET Scaling Device or Circuit Parameter Scaling Factor Device dimension tox, L, W 1/κ Doping concentration Na κ Voltage V 1/κ Current I 1/κ Capacitance εa/t 1/κ Delay time/circuit VC/I 1/κ Power dissipation/circuit VI 1/κ 2 Power density VI/A 1 R. Dennard, IEEE JSSC, 1974 Classical MOSFET scaling ENDED at the 130nm node (and nobody noticed ) Kelin Kuhn / IWJT / Shanghai /

6 90 nm Strained Silicon Transistors NMOS PMOS High Stress Film SiGe SiGe SiN cap layer Tensile channel strain SiGe source-drain Compressive channel strain Strained silicon provided increased drive currents, making up for the loss of classical Dennard scaling Kelin Kuhn / IWJT / Shanghai /

7 45nm High-k + Metal Gate Transistors 45 nm HK+MG Hafnium-based dielectric Metal gate electrode High-k + metal gate transistors restored gate oxide scaling at the 45nm node Kelin Kuhn / IWJT / Shanghai /

8 THEN Scaling drove down cost Scaling drove performance Performance constrained Active power dominates Independent design-process Changes in Scaling 130nm 90nm 65nm 45nm 32nm Kelin Kuhn / IWJT / Shanghai /

9 Changes in Scaling THEN Scaling drove down cost Scaling drove performance Performance constrained Active power dominates Independent design-process NOW Scaling drives down cost Materials drive performance Power constrained Standby power dominates Collaborative design-process 130nm 90nm 65nm 45nm 32nm Kelin Kuhn / IWJT / Shanghai /

10 Consistent 2-year scaling 65nm WIDE m2 90nm TALL 1.0 m2 32nm WIDE m2 45nm WIDE m2 90 nm 65 nm 45 nm 32 nm 22 nm nm WIDE m2 projected Kelin Kuhn / IWJT / Shanghai /

11 Transistor Performance V, 100 na I OFF 32nm nm Drive Current (ma/um) nm 90nm 65nm 0.5 NMOS PMOS Gate Pitch (nm) nm transistors continue Moore s Law with improved drive at reduced pitch 11 Kelin Kuhn / IWJT / Shanghai /

12 Consistent SRAM Density Scaling Bitcell Area ( m 2 ) X bitcell area scaling 90nm 65nm 45nm 32nm Process generation 22nm K. Zhang, ISCC, 2009; M. Bohr IDF 2010 Kelin Kuhn / IWJT / Shanghai /

13 AGENDA Scaling Gate control Mobility Resistance Capacitance Summary Kelin Kuhn / IWJT / Shanghai /

14 MOSFET Challenges Resistance (Decreased S/D opening) Capacitance (Increased fringe to contact/facet) Contact Gate control (SCE limitations with smaller Leff) Spacer Gate Epi RSD Mobility (Reduced strain with decreased pitch) Kelin Kuhn / IWJT / Shanghai /

15 MOSFET Challenges Resistance (Decreased S/D opening) Capacitance (Increased fringe to contact/facet) Contact Gate control (SCE limitations with smaller Leff) Spacer Gate Epi RSD Mobility (Reduced strain with decreased pitch) Kelin Kuhn / IWJT / Shanghai /

16 Ultra-thin body with RSD Contact Spacer Gate Epi RSD Ultra-thin body (UTB) Kelin Kuhn / IWJT / Shanghai /

17 MuGFET Contact Spacer Gate Vertical thin body Kelin Kuhn / IWJT / Shanghai /

18 MuGFET VARIANTS FINFET TRIGATE PI-GATE Nearly ideal sub- gate threshold BOX slope Silicon (gates tied together) channel -GATE GAA (GATE-ALL-AROUND) Kelin Kuhn / IWJT / Shanghai /

19 Nanowire Contact Spacer Gate Nanowire Kelin Kuhn / IWJT / Shanghai /

20 Nanowire Contact Looking at all these in more detail Spacer Gate Nanowire Kelin Kuhn / IWJT / Shanghai /

21 Ultra-thin body with RSD Extension of planar technology (less disruptive to manufacturing) Benefits Improved RDF (low doped channel) Compatible with RSD technology Excellent channel control Potential for body bias Kelin Kuhn / IWJT / Shanghai /

22 Ultra-thin body with RSD Capacitance (Increased fringe to contact/facet) Rext: (Xj/Tsi limitations) Challenges Variation: (film thickness changes affects VT and DIBL) Strain: (strain transfer from S/D into the channel) Manufacturing: (requires both thin Tsi and thin BOX) Performance: (transport challenges with thin Tsi) Kelin Kuhn / IWJT / Shanghai /

23 Barral CEA-LETI IEDM 2007 Ultra-thin body Cheng IBM VLSI 2009 Lg=25nm Tsi=6nm Kelin Kuhn / IWJT / Shanghai /

24 MuGFET Benefits Double-gate relaxes Tsi requirements Fin Wsi > UTB Tsi (less scattering, improved VT shift) Nearly ideal subthreshold slope (gates tied together) Improved RDF (low doped channel) Can be on bulk or SOI Excellent channel control Kelin Kuhn / IWJT / Shanghai /

25 MuGFET with RSD Double-gate relaxes Tsi requirements Fin Wsi > UTB Tsi (less scattering, improved VT shift) Nearly ideal subthreshold slope (gates tied together) Benefits Improved RDF (low doped channel) Compatible with RSD technology Excellent channel control Kelin Kuhn / IWJT / Shanghai /

26 MuGFET Benefits Double-gate relaxes Tsi requirements Fin Wsi > UTB Tsi (less scattering, improved VT shift) Possibility for independent gate operation Improved RDF (low doped channel) Excellent channel control Kelin Kuhn / IWJT / Shanghai /

27 MuGFET Capacitance (fringe to contact/facet) Plus, additional dead space elements Variation (Mitigating RDF but acquiring Hsi/Wsi/epi) Challenges Gate wraparound (Endcap coverage) Small fin pitch (2 generation scale?) Fin/gate fidelity on 3 D (Patterning/etch) Fin Strain engr. (Effective strain transfer from a fin into the channel) Rext: (Xj/Wsi limitations) Topology (Polish / etch challenges) Kelin Kuhn / IWJT / Shanghai /

28 Hisamoto Hitachi / Berkeley IEDM 1998 [3] Kelin Kuhn / IWJT / Shanghai /

29 Kavalieros Intel IEDM 2006 MuGFET Vellianitis NXP-TSMC IEDM 2007 Kelin Kuhn / IWJT / Shanghai /

30 Kang Sematech VLSI 2008 MuGFET Chang TSMC IEDM 2009 Kelin Kuhn / IWJT / Shanghai /

31 Nanowire Benefits Nearly ideal subthreshold slope (gates tied together) Nanowire further relaxes Tsi / Wsi requirements Improved RDF (low doped channel) Excellent channel control Kelin Kuhn / IWJT / Shanghai /

32 Nanowire Benefits Nearly ideal subthreshold slope (gates tied together) Nanowire further relaxes Tsi / Wsi requirements Improved RDF (low doped channel) Compatible with RSD technology Excellent channel control Kelin Kuhn / IWJT / Shanghai /

33 Nanowire Integrated wire fabrication (Epitaxy? Other?) Mobility degradation (scattering) Gate conformality (dielectric and metal) Wire stability (bending/warping) Challenges Capacitance (fringe to contact/facet) Plus, additional dead space elements Variation (Mitigating RDF but acquiring a myriad of new sources) Fin Strain engr. (Effective strain transfer from wire into the channel) Rext: (Xj/Wsi limitations) Topology (Polish / etch Fin/gate fidelity on 3 D (Patterning/etch) Kelin Kuhn / IWJT / Shanghai challenges) /

34 Yeo Samsung IEDM 2006 Nanowire FETs Dupre CEA-LETI IEDM 2008 Kelin Kuhn / IWJT / Shanghai /

35 Wong NUS Singapore VLSI 2009 Nanowire FETs Bangsaruntip IBM IEDM 2009 Kelin Kuhn / IWJT / Shanghai /

36 MOSFET Challenges Resistance (Decreased S/D opening) Capacitance (Increased fringe to contact/facet) Gate control (SCE limitations with smaller Leff) Mobility (Reduced strain with decreased pitch) Kelin Kuhn / IWJT / Shanghai /

37 Transistor Performance Trend Drive Current (ma/um) V, 100 na I OFF 90nm 130nm 65nm 45nm 32nm Strain Hi-k-MG Other Classic scaling PMOS Gate Pitch (nm) 100 Strain is a critical ingredient in modern transistor scaling Strain was first introduced at 90nm, and its contribution has increased in each subsequent generation Kelin Kuhn / IWJT / Shanghai /

38 Etch-stop nitride (CESL) 28-35% 7% Ito NEC IEDM 2000 NMOS SiN strain Pidin Fujitsu IEDM 2004 N and PMOS Mayuzumi Sony IEDM 2007 Dual-cut stress liners (MG process) Kelin Kuhn / IWJT / Shanghai /

39 Strain: Pitch dependence Idsat % gain Normalized Idsat Pitch (nm) Pitch (nm) 100 NMOS Pitch degradation increases with film pinchoff, requires higher stress, thinner films PMOS esige S/D mobility strongly dependent on pitch Auth, Intel, VLSI 2008 Kelin Kuhn / IWJT / Shanghai /

40 Embedded SiGe (PMOS) Thompson Intel IEDM 2002 / 2004 Ghani Intel IEDM 2003 Chidambaram TI / Applied Materials VLSI Kelin Kuhn / IWJT / Shanghai /

41 Embedded Si:C (NMOS) ~9% from SiC Ang NUS-Singapore IEDM 2004 Selective epi SiC (undoped) Yang IBM IEDM 2008 In-situ epi P-SiC Chung Nat l Chiao Tung U. VLSI 2009 Implanted C + SPE Kelin Kuhn / IWJT / Shanghai /

42 Strain: Pitch dependence Idsat % gain Normalized Idsat Pitch (nm) Pitch (nm) 100 NMOS Pitch degradation increases with film pinchoff, requires higher stress, thinner films PMOS esige S/D mobility strongly dependent on pitch Auth, Intel, VLSI 2008 Kelin Kuhn / IWJT / Shanghai /

43 Strain: Pitch dependence Idsat % gain less sensitive 1.4 to pitch? 1.3 Normalized Idsat Pitch (nm) Pitch (nm) 100 What about strain options NMOS Pitch degradation increases with film pinchoff, requires higher stress, thinner films PMOS esige S/D mobility strongly dependent on pitch C. Auth, VLSI 2008 Kelin Kuhn / IWJT / Shanghai /

44 Stress Memorization (SMT) 11-15% >27% Ota Mitsubishi IEDM 2002 NMOS SMT Chen TSMC VLSI 2004 NMOS SMT Wei AMD VLSI 2007 Multiple liners Kelin Kuhn / IWJT / Shanghai /

45 Metal stress (gate and contact) Different gate stack Raised S/D NMOS PMOS % 1000 VDD = 1.0V 1000 VDD = 1.0V Ioff (na/ m) % 10 Compressive Gate Control Idsat(mA/ m) Ioff (na/ m) % Tensile Contact Control Idsat(mA/ m) Kang Sematech IEDM 2006 Auth Intel VLSI 2008 Kelin Kuhn / IWJT / Shanghai /

46 Enhanced PMOS strain: Gate last HiK-MG Before gate removal After gate removal 14% RMG Wang Sony VLSI 2007 Auth Intel VLSI 2008 Kelin Kuhn / IWJT / Shanghai /

47 (100) surface top down ORIENTATION (110) surface top down <110> <100> (100) <110> <110> Standard wafer / direction (100) Surface / <110> channel (100) Surface / <100> (a 45 degree wafer) Both <110> directions are the same. (110) <100> <111> <110> Non-standard (110) Surface Three possible channel directions <110> <111> and <100> <100> Kelin Kuhn / IWJT / Shanghai /

48 (100) surface top down (110) surface top down (100) <110> <110> <100> <110> Standard wafer / direction (100) Surface / <110> channel (100) Surface / <100> (a 45 degree wafer) Both <110> directions are the same. (110) <100> <111> <110> Non-standard (110) Surface Three possible channel directions <110> <111> and <100> <100> (100) BEST NMOS (110) <110> BEST PMOS Yang AMD/IBM EDST 2007 Kelin Kuhn / IWJT / Shanghai /

49 PMOS Vertical Devices on (100) Put NMOS at 45degrees to PMOS? Chang - IBM TED 2004 [54] <110> channel (110) Surface Kinugawa-Toshiba VLSI 1986 (110) surface <110> channel results when a VFET is fabricated on typical (100) Si - good for PMOS, not for NMOS Kelin Kuhn / IWJT / Shanghai /

50 Put NMOS at 45degrees to Put PMOS? NMOS at 45degrees to PMOS? NMOS Vertical Devices on (100) <100> channel (100) Surface (100) surface <100> channel for a VFET fabricated at 45 degrees typical (100) Si very challenging for lithography at 22nm node Chang - Berkeley Proc. IEEE 2003 [56] Kelin Kuhn / IWJT / Shanghai /

51 Strain and Orientation Piezoresistive coefficient as a function of direction Udo Infineon Proc. IEEE Sensors 2004 NMOS PMOS Kelin Kuhn / IWJT / Shanghai /

52 Krishnamohan Stanford IEDM 2008 Kelin Kuhn / IWJT / Shanghai /

53 MOSFET Challenges Resistance (Decreased S/D opening) Capacitance (Increased fringe to contact/facet) Gate control (SCE limitations with smaller Leff) Mobility (Reduced strain with decreased pitch) Kelin Kuhn / IWJT / Shanghai /

54 Planar Resistive Elements R CONTACT R SILICIDE R INTERFACE R EPI R ACCUMULATION R SPREADING Kelin Kuhn / IWJT / Shanghai /

55 Technology trends Xj/Tsi, Lg, Racc XJ / Tsi (nm), Lgate (nm) XJ Lg Rratio Tsi % 30% 20% 10% 0% IDEAL Racc/(Vdd/Idsat) Rchannel Racc RESISTANCE ( / m) TECHNOLOGY YEAR ITRS 2007 [19] TECHNOLOGY NODE Noori - Applied Materials TED 2008 [20] 40 Kelin Kuhn / IWJT / Shanghai /

56 RTA effective annealing times Cycle Rampup Rate (C/s) Typical peak time (s) Rampdown Rate (C/s) Effective Time (s) Soak ~5+t hold Spike 250 < ~1 Flash 1e5-1e6 <1e-6 ~1e ms Scanning laser 1e5-1e6 <1e-6 >1e ms Melt (laser) 1e7-1e8 <1e-8 >1e ns Effective annealing times are computed with realistic ramp shapes, assuming dominant Ea~5eV. Kelin Kuhn / IWJT / Shanghai /

57 Annealing techniques: by physics of activation Melt Laser Flash or SubMelt Laser Temp (C) Spike RTA Soak RTA Int diff dop subs Int clus LPER 311 form SPER B diff As diff 311 diss BIC diss E-10 1.E-08 1.E-06 1.E-04 1.E-02 1.E+00 1.E+02 1.E+04 1.E+06 Characteristic Time (s) Kelin Kuhn / IWJT / Shanghai /

58 Temp (C) Annealing techniques: by physics of activation Melt Laser Flash/submelt laser processes have the potential to freeze dopant profiles in place Flash or SubMelt Laser Spike RTA Soak RTA Int diff dop subs Int clus LPER 311 form SPER B diff As diff 311 diss BIC diss E-10 1.E-08 1.E-06 1.E-04 1.E-02 1.E+00 1.E+02 1.E+04 1.E+06 Characteristic Time (s) Kelin Kuhn / IWJT / Shanghai /

59 Submelt Laser Anneal Test Stand laser melt monitor focusing optic velocity feedback encoder spindle and wafer chuck attenuator power monitor shutter focusing optics retractable alignment microscope scanning stage Guassian beam: 400 m wide (FWHM) Spinning stage: dwell time s Constant dwell time and track spacing are maintained by synchronizing spin speed and x-stage position Kelin Kuhn / IWJT / Shanghai /

60 Submelt Laser Anneal Test Results Concentration (atoms/cm3) 1E+22 1E+21 1E+20 1E+19 1E+18 1E+17 Junction depth = 26 nm Sheet resistance = 150 /sq Phosphorus Depth (nm) #0 - No Laser #4-91%, 60us #5-88%, 60us #6-85%, 60us #7-54%, 200us Concentration (atoms/cm3) 1.00E E E E E E+17 Junction depth = 28 nm, Sheet resistance = 150 /sq Arsenic #0 Laser Power = 0 #0 Laser Power = 0 #9 Laser Power = 50% #7 Laser #7 Laser Power = = 54% #9 Laser Power = 50% 1.00E (nm) Depth (nm) Freezing implants in place: Submelt laser anneal showing no diffusion after 200 μs anneal Kelin Kuhn / IWJT / Shanghai /

61 Dopant solubility limits are controlled by slower rather than faster processes permitting super-activation 1500 Temp (C) Annealing techniques: by physics of activation Melt Laser Slow characteristic times include clustering or precipitation reactions Flash or SubMelt Laser Spike RTA Soak RTA Int diff dop subs Int clus LPER 311 form SPER B diff As diff 311 diss BIC diss 600 SPER 500 Fast characteristic times include Si Int diffusion and clustering and dopant substitutionality Characteristic via Time (s) substitutional-interstitial exchange reaction 1.E-10 1.E-08 1.E-06 1.E-04 1.E-02 1.E+00 1.E+02 1.E+04 1.E+06 Kelin Kuhn / IWJT / Shanghai /

62 Superactivation with solid-phase epitaxial regrowth (SPER) Concentration (cm-3) Concentration (cm-3) 1E+22 1E+21 1E+20 1E+19 1E+18 1E+17 1E+21 1E+20 1E+19 1E+18 1E+17 Laser Anneal 1E16, Rs=73 4E15, Rs=91 2E15, Rs=132 1E15, Rs=205 B in Si Depth (nm) B in Si Depth (nm) 1E+22 1E+21 1E+20 1E+19 1E+18 1E+17 1E+21 1E+20 1E+19 1E+18 1E+17 B in Si Depth (nm) B in Si 4E14 RTA 1E15, 2E15, 4E C 980 C 1000 C 1020 C Depth (nm) Temperature Dose Laser melt anneal vs RTA, showing increased abruptness and non-equilibrium enhanced activation (superactivation). Kelin Kuhn / IWJT / Shanghai /

63 MOSFET Challenges Resistance (Decreased S/D opening) Capacitance (Increased fringe to contact/facet) Gate control (SCE limitations with smaller Leff) Mobility (Reduced strain with decreased pitch) Kelin Kuhn / IWJT / Shanghai /

64 Planar Capacitive Elements Cfringe to Contact Cfringe to facet Cjunction Cfringe to diffusion (of/if) Cxud - device component of Cov (XUD-based) Gated-edge junction Cchannel component of Cgate Area junction Kelin Kuhn / IWJT / Shanghai / Kuhn, Intel, IEDM SC 2008

65 Innovative Spacer Technologies SPACER REMOVAL Liow NUS Singapore EDL 2008 SiBCN (Low-K) SPACER Ko TSMC VLSI 2008 Kelin Kuhn / IWJT / Shanghai /

66 AGENDA Scaling Gate control Mobility Resistance Capacitance Summary Kelin Kuhn / IWJT / Shanghai /

67 Looking Forward Low risk Enhancements in strain technology Enhancements in annealing/implant technology Medium Risk Optimized substrate and channel orientation Reduction in MOS parasitic resistance Reduction in MOS parasitic capacitance High risk UTB devices MuGFETS Nanowires Kelin Kuhn / IWJT / Shanghai /

Peering into Moore s

Peering into Moore s Peering into Moore s Crystal Ball: Transistor Scaling beyond the 15nm node Kelin J. Kuhn Intel Fellow Director of Advanced Device Technology Portland Technology Development Intel Corporation 1 AGENDA Scaling

More information

Technology Options for 22nm and Beyond

Technology Options for 22nm and Beyond Technology Options for 22nm and Beyond Kelin J. Kuhn, Mark Y. Liu and Harold Kennel Logic Technology Development, Intel Corporation, Hillsboro, OR, 97124, U.S.A. Contact: kelin.ptd.kuhn@intel.com Abstract

More information

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 Transistor Scaling in the Innovation Era Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 MOSFET Scaling Device or Circuit Parameter Scaling Factor Device dimension tox, L, W

More information

Scaling Electronics: Kelin J. Kuhn Intel Fellow. Kelin Kuhn / MIT / April 4 th

Scaling Electronics: Kelin J. Kuhn Intel Fellow. Kelin Kuhn / MIT / April 4 th Scaling Electronics: Trends and Bottlenecks Kelin J. Kuhn Intel Fellow Director of Advanced Device Technology 1 Moore s Law Scaling of the SRAM 10.00 Bitcell Area ( m 2 ) 1.00 0.10 2X bitcell area scaling

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

Challenges and Innovations in Nano CMOS Transistor Scaling

Challenges and Innovations in Nano CMOS Transistor Scaling Challenges and Innovations in Nano CMOS Transistor Scaling Tahir Ghani Intel Fellow Logic Technology Development October, 2009 Nikkei Presentation 1 Outline Traditional Scaling Traditional Scaling Limiters,

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann*, K. Johnson#,

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si III-V on Si for VLSI Accelerating the next technology revolution 200 mm III-V on Si III-V nfet on 200 mm Si R. Hill, C. Park, J. Barnett, J. Huang, N. Goel, J. Oh, W.Y. Loh, J. Price, P. Kirsch, P, Majhi,

More information

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY International Journal of Knowledge Management & e-learning Volume 3 Number 1 January-June 2011 pp. 1-5 DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY K. Nagarjuna Reddy 1, K. V. Ramanaiah 2 & K. Sudheer

More information

Innovation to Advance Moore s Law Requires Core Technology Revolution

Innovation to Advance Moore s Law Requires Core Technology Revolution Innovation to Advance Moore s Law Requires Core Technology Revolution Klaus Schuegraf, Ph.D. Chief Technology Officer Silicon Systems Group Applied Materials UC Berkeley Seminar March 9 th, 2012 Innovation

More information

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Workshop on Frontiers of Extreme Computing Santa Cruz, CA October 24, 2005 ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Peter M. Zeitzoff Outline Introduction MOSFET scaling and

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

Advanced PDK and Technologies accessible through ASCENT

Advanced PDK and Technologies accessible through ASCENT Advanced PDK and Technologies accessible through ASCENT MOS-AK Dresden, Sept. 3, 2018 L. Perniola*, O. Rozeau*, O. Faynot*, T. Poiroux*, P. Roseingrave^ olivier.faynot@cea.fr *Cea-Leti, Grenoble France;

More information

Drain. Drain. [Intel: bulk-si MOSFETs]

Drain. Drain. [Intel: bulk-si MOSFETs] 1 Introduction For more than 40 years, the evolution and growth of very-large-scale integration (VLSI) silicon-based integrated circuits (ICs) have followed from the continual shrinking, or scaling, of

More information

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France FDSOI for Low Power System on Chip M.HAOND STMicroelectronics, Crolles, France OUTLINE Introduction : Motivations for FDSOI FDSOI Presentation & Short Channel control MOS VT Construction Performance Analysis

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

Enabling Breakthroughs In Technology

Enabling Breakthroughs In Technology Enabling Breakthroughs In Technology Mike Mayberry Director of Components Research VP, Technology and Manufacturing Group Intel Corporation June 2011 Defined To be defined Enabling a Steady Technology

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements Sign up for Piazza if you haven t already 2 1 Assigned Reading R.H.

More information

Fully Depleted Devices

Fully Depleted Devices 4 Fully Depleted Devices FDSOI and FinFET Bruce Doris, Ali Khakifirooz, Kangguo Cheng, and Terence Hook CONTENTS 4.1 Overview... 71 4.2 Introduction: Challenges of Conventional CMOS Technology...72 4.3

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

32nm Technology and Beyond

32nm Technology and Beyond 32nm Technology and Beyond Paolo Gargini Chairman ITRS IEEE Fellow Director of Technology Strategy Intel Fellow ISS Europe 2009 P. Gargini 1 Agenda Equivalent Scaling 45nm Technology summary 32nm Technology

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing Transistor Elements for 30nm Physical Gate Length and Beyond A compiled version

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN Performance Evaluation and Comparison of Ultra-thin Bulk (UTB), Partially Depleted and Fully Depleted SOI MOSFET using Silvaco TCAD Tool Seema Verma1, Pooja Srivastava2, Juhi Dave3, Mukta Jain4, Priya

More information

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore

Semiconductor Memory: DRAM and SRAM. Department of Electrical and Computer Engineering, National University of Singapore Semiconductor Memory: DRAM and SRAM Outline Introduction Random Access Memory (RAM) DRAM SRAM Non-volatile memory UV EPROM EEPROM Flash memory SONOS memory QD memory Introduction Slow memories Magnetic

More information

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors.

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors. On May 4, 2011, Intel Corporation announced what it called the most radical shift in semiconductor technology in 50 years. A new 3 dimensional transistor design will enable the production of integrated

More information

CMOS Scaling and Variability

CMOS Scaling and Variability WIMNACT WS & IEEE EDS Mini-colloquim on Nano-CMOS Technology January 3, 212, TITECH, Japan CMOS Scaling and Variability 212. 1. 3 NEC Tohru Mogami WIMNACT WS 212, January 3, Titech 1 Acknowledgements I

More information

Lecture 8. Thin-Body MOSFET s Process II. Source/Drain Technologies Threshold Voltage Engineering

Lecture 8. Thin-Body MOSFET s Process II. Source/Drain Technologies Threshold Voltage Engineering Atom Probe Tomography for Dopants in FinFETs Lecture 8 A.K. Kambham (imec), VLSI-T 2012 Thin-Body MOSFET s Process II Source/Drain Technologies Threshold Voltage Engineering Reading: multiple research

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information

Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Effect over Bulk MOSFET at 20nm

Design & Performance Analysis of DG-MOSFET for Reduction of Short Channel Effect over Bulk MOSFET at 20nm RESEARCH ARTICLE OPEN ACCESS Design & Performance Analysis of DG- for Reduction of Short Channel Effect over Bulk at 20nm Ankita Wagadre*, Shashank Mane** *(Research scholar, Department of Electronics

More information

Analog/Mixed-Signal Design in FinFET Technologies

Analog/Mixed-Signal Design in FinFET Technologies Analog/Mixed-Signal Design in FinFET Technologies A.L.S. Loke, E. Terzioglu, A.A. Kumar, T.T. Wee, K. Rim, D. Yang, B. Yu, L. Ge, L. Sun, J.L. Holland, C. Lee, S. Yang, J. Zhu, J. Choi, H. Lakdawala, Z.

More information

Semiconductor TCAD Tools

Semiconductor TCAD Tools Device Design Consideration for Nanoscale MOSFET Using Semiconductor TCAD Tools Teoh Chin Hong and Razali Ismail Department of Microelectronics and Computer Engineering, Universiti Teknologi Malaysia,

More information

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures

Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Improving CMOS Speed and Switching Energy with Vacuum-Gap Structures Chenming Hu and Je Min Park Univ. of California, Berkeley -1- Outline Introduction Background and Motivation MOSFETs with Vacuum-Spacer

More information

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS Tsu-Jae King, Yang-Kyu Choi, Pushkar Ranade^ and Leland Chang Electrical Engineering and Computer Sciences Dept., ^Materials Science and Engineering

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications Vice President, Technology Manufacturing Group Intel Corporation August 2013 Outlines

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

MOSFET short channel effects

MOSFET short channel effects MOSFET short channel effects overview Five different short channel effects can be distinguished: velocity saturation drain induced barrier lowering (DIBL) impact ionization surface scattering hot electrons

More information

Bridging the Gap between Dreams and Nano-Scale Reality

Bridging the Gap between Dreams and Nano-Scale Reality Bridging the Gap between Dreams and Nano-Scale Reality Ban P. Wong Design Methodology, Chartered Semiconductor wongb@charteredsemi.com 28 July 2006 Outline Deficiencies in Boolean-based Design Rules in

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation Australian Journal of Basic and Applied Sciences, 2(3): 406-411, 2008 ISSN 1991-8178 Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation 1 2 3 R. Muanghlua, N. Vittayakorn and A.

More information

Variation in 45nm and Implications for 32nm and Beyond Kelin J. Kuhn Intel Fellow Director of Advanced Device Technology

Variation in 45nm and Implications for 32nm and Beyond Kelin J. Kuhn Intel Fellow Director of Advanced Device Technology Variation in 45nm and Implications for 32nm and Beyond Kelin J. Kuhn Intel Fellow Director of Advanced Device Technology 1 AGENDA Technology scaling I. Physical Variation Sources and Mitigation II. Measurements,

More information

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling

Sub-micron technology IC fabrication process trends SOI technology. Development of CMOS technology. Technology problems due to scaling Goodbye Microelectronics Welcome Nanoelectronics Sub-micron technology IC fabrication process trends SOI technology SiGe Tranzistor in 50nm process Virus The thickness of gate oxide= 1.2 nm!!! Today we

More information

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141

EECS 151/251A Spring 2019 Digital Design and Integrated Circuits. Instructors: Wawrzynek. Lecture 8 EE141 EECS 151/251A Spring 2019 Digital Design and Integrated Circuits Instructors: Wawrzynek Lecture 8 EE141 From the Bottom Up IC processing CMOS Circuits (next lecture) EE141 2 Overview of Physical Implementations

More information

Research Needs for Device Sciences Modeling and Simulation (May 6, 2005)

Research Needs for Device Sciences Modeling and Simulation (May 6, 2005) Research Needs for Device Sciences Modeling and Simulation (May 6, 2005) SRC Device Sciences 2005 Modeling and Simulation Task Force Contributing organizations: Axcelis, Freescale, IBM, Intel, LSI, SRC,

More information

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

Power MOSFET Zheng Yang (ERF 3017,

Power MOSFET Zheng Yang (ERF 3017, ECE442 Power Semiconductor Devices and Integrated Circuits Power MOSFET Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Evolution of low-voltage (

More information

Power FINFET, a Novel Superjunction Power MOSFET

Power FINFET, a Novel Superjunction Power MOSFET Power FINFET, a Novel Superjunction Power MOSFET Wai Tung Ng Smart Power Integration & Semiconductor Devices Research Group Department of Electrical and Computer Engineering Toronto, Ontario Canada, M5S

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

CMOS Scaling Beyond FinFETs: Nanowires and TFETs

CMOS Scaling Beyond FinFETs: Nanowires and TFETs SEMATECH Symposium June 23, 2011 Tokyo Accelerating the next technology revolution CMOS Scaling Beyond FinFETs: Nanowires and TFETs Chris Hobbs, Wei-Yip Loh, Kerem Akarvardar, Paul Kirsch, and Raj Jammy

More information

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1

Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 Lecture 020 ECE4430 Review II (1/5/04) Page 020-1 LECTURE 020 ECE 4430 REVIEW II (READING: GHLM - Chap. 2) Objective The objective of this presentation is: 1.) Identify the prerequisite material as taught

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

The 28 nm CMOS Power Amplifier

The 28 nm CMOS Power Amplifier The 28 nm CMOS Power Amplifier IECC 2017 Keynote talk 2017-05-19 Ted Johansson, Integrated Circuits and System, Dept. of Electrical Engineering, Linköping University, Sweden ted.johansson@liu.se Outline

More information

Future MOSFET Devices using high-k (TiO 2 ) dielectric

Future MOSFET Devices using high-k (TiO 2 ) dielectric Future MOSFET Devices using high-k (TiO 2 ) dielectric Prerna Guru Jambheshwar University, G.J.U.S. & T., Hisar, Haryana, India, prernaa.29@gmail.com Abstract: In this paper, an 80nm NMOS with high-k (TiO

More information

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o.

Layout of a Inverter. Topic 3. CMOS Fabrication Process. The CMOS Process - photolithography (2) The CMOS Process - photolithography (1) v o. Layout of a Inverter Topic 3 CMOS Fabrication Process V DD Q p Peter Cheung Department of Electrical & Electronic Engineering Imperial College London v i v o Q n URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk

More information

Logic Technology Development, *QRE, ** TCAD Intel Corporation

Logic Technology Development, *QRE, ** TCAD Intel Corporation A 32nm Logic Technology Featuring 2nd-Generation High-k + Metal-Gate Transistors, Enhanced Channel Strain and 0.171um 2 SRAM Cell Size in a 291Mb Array S. Natarajan, M. Armstrong, M. Bost, R. Brain, M.

More information

III-V CMOS: the key to sub-10 nm electronics?

III-V CMOS: the key to sub-10 nm electronics? III-V CMOS: the key to sub-10 nm electronics? J. A. del Alamo Microsystems Technology Laboratories, MIT 2011 MRS Spring Meeting and Exhibition Symposium P: Interface Engineering for Post-CMOS Emerging

More information

Analog Performance of Scaled Bulk and SOI MOSFETs

Analog Performance of Scaled Bulk and SOI MOSFETs Analog Performance of Scaled and SOI MOSFETs Sushant S. Suryagandh, Mayank Garg, M. Gupta, Jason C.S. Woo Department. of Electrical Engineering University of California, Los Angeles CA 99, USA. woo@icsl.ucla.edu

More information

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) 3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) Pei W. Ding, Kristel Fobelets Department of Electrical Engineering, Imperial College London, U.K. J. E. Velazquez-Perez

More information

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP)

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP) Science in China Series E: Technological Sciences 2009 SCIENCE IN CHINA PRESS www.scichina.com tech.scichina.com Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets

More information

Topic 3. CMOS Fabrication Process

Topic 3. CMOS Fabrication Process Topic 3 CMOS Fabrication Process Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Lecture 3-1 Layout of a Inverter

More information

Trends and Challenges in VLSI Technology Scaling Towards 100nm

Trends and Challenges in VLSI Technology Scaling Towards 100nm Trends and Challenges in VLSI Technology Scaling Towards 100nm Stefan Rusu Intel Corporation stefan.rusu@intel.com September 2001 Stefan Rusu 9/2001 2001 Intel Corp. Page 1 Agenda VLSI Technology Trends

More information

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration Soshi Sato 1, Hideyuki Kamimura 1, Hideaki Arai 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kenji Ohmori 3, Keisaku

More information

Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges

Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges Leakage Current in Low Standby Power and High Performance Devices: Trends and Challenges (Invited Paper) Geoffrey C-F Yeap Motorola Inc., DigitalDNA Laboratories, 3501 Ed Bluestein Blvd., MD: K10, Austin,

More information

2.8 - CMOS TECHNOLOGY

2.8 - CMOS TECHNOLOGY CMOS Technology (6/7/00) Page 1 2.8 - CMOS TECHNOLOGY INTRODUCTION Objective The objective of this presentation is: 1.) Illustrate the fabrication sequence for a typical MOS transistor 2.) Show the physical

More information

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5 Eigen # Gate Gate Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET Lecture 5 Thin-Body MOSFET Carrier Transport quantum confinement effects low-field mobility: Orientation and Si Thickness

More information

Source/Drain Parasitic Resistance Role and Electric Coupling Effect in Sub 50 nm MOSFET Design

Source/Drain Parasitic Resistance Role and Electric Coupling Effect in Sub 50 nm MOSFET Design Source/Drain Parasitic Resistance Role and Electric Coupling Effect in Sub 50 nm MOSFET Design 9/25/2002 Jun Yuan, Peter M. Zeitzoff*, and Jason C.S. Woo Department of Electrical Engineering University

More information

ISSN: [Soni* et al., 6(4): April, 2017] Impact Factor: 4.116

ISSN: [Soni* et al., 6(4): April, 2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY A COMPARITIVELY ANALISIS OF VARIOUS CMOS FINFET STRUCTURE Ragini Soni*, Mrs. Jyotsna Sagar * M.Tech Student (VLSI ) Asst. Professor,

More information

Microprocessor Design in the Nanoscale Era

Microprocessor Design in the Nanoscale Era Microprocessor Design in the Nanoscale Era Stefan Rusu Senior Principal Engineer Intel Corporation IEEE Fellow stefan.rusu@intel.com 2012 Stefan Intel Rusu Corporation July 2012 1 Agenda Microprocessor

More information

Performance advancement of High-K dielectric MOSFET

Performance advancement of High-K dielectric MOSFET Performance advancement of High-K dielectric MOSFET Neha Thapa 1 Lalit Maurya 2 Er. Rajesh Mehra 3 M.E. Student M.E. Student Associate Prof. ECE NITTTR, Chandigarh NITTTR, Chandigarh NITTTR, Chandigarh

More information

Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate

Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate Bich-Yen Nguyen, Anne Vandooren, Aaron Thean, Sriram Kalpat, Melissa Zavala, Jeff Finder, Ted White, Skip Egley, Jamie Schaeffer,

More information

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors

Final Exam Topics. IC Technology Advancement. Microelectronics Technology in the 21 st Century. Intel s 90 nm CMOS Technology. 14 nm CMOS Transistors ANNOUNCEMENTS Final Exam: When: Wednesday 12/10 12:30-3:30PM Where: 10 Evans (last names beginning A-R) 60 Evans (last names beginning S-Z) Comprehensive coverage of course material Closed book; 3 sheets

More information

Integrated CMOS Tri-Gate Transistors: Paving the Way to Future Technology Generations

Integrated CMOS Tri-Gate Transistors: Paving the Way to Future Technology Generations Page 1 Integrated CMOS Tri-Gate Transistors: Paving the Way to Future Technology Generations Robert S. Chau, Intel Senior Fellow Copyright Intel Corporation 2006. *Third-party brands and names are the

More information

THE ROAD TO THE END OF CMOS SCALING

THE ROAD TO THE END OF CMOS SCALING DRAFT No.6 May 2, 24 The Road to the End of CMOS Scaling 1 THE ROAD TO THE END OF CMOS SCALING Thomas Skotnicki, James A. Hutchby*, Tsu-Jae King**, H.-S. Philip Wong***, Frederic Boeuf ST Microelectronics,

More information

DUAL MATERIAL PILE GATE APPROACH FOR LOW LEAKAGE FINFET. Sanjay S. Chopade 1*, Dinesh V. Padole 1

DUAL MATERIAL PILE GATE APPROACH FOR LOW LEAKAGE FINFET. Sanjay S. Chopade 1*, Dinesh V. Padole 1 International Journal of Technology (2017) 1: 168-176 ISSN 2086-9614 IJTech 2017 DUAL MATERIAL PILE GATE APPROACH FOR LOW LEAKAGE FINFET Sanjay S. Chopade 1*, Dinesh V. Padole 1 1 Department of Electronics

More information

40nm Node CMOS Platform UX8

40nm Node CMOS Platform UX8 FUKAI Toshinori, IKEDA Masahiro, TAKAHASHI Toshifumi, NATSUME Hidetaka Abstract The UX8 is the latest process from NEC Electronics. It uses the most advanced exposure technology to achieve twice the gate

More information

Design and Analysis of Double Gate MOSFET Devices using High-k Dielectric

Design and Analysis of Double Gate MOSFET Devices using High-k Dielectric International Journal of Electrical Engineering. ISSN 0974-2158 Volume 7, Number 1 (2014), pp. 53-60 International Research Publication House http://www.irphouse.com Design and Analysis of Double Gate

More information

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area.

Why Scaling? CPU speed Chip size R, C CPU can increase speed by reducing occupying area. Why Scaling? Higher density : Integration of more transistors onto a smaller chip : reducing the occupying area and production cost Higher Performance : Higher current drive : smaller metal to metal capacitance

More information

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS ABSTRACT J.Shailaja 1, Y.Priya 2 1 ECE Department, Sphoorthy Engineering College (India) 2 ECE,Sphoorthy Engineering College, (India) The

More information

Optimization of Direct Tunneling Gate Leakage Current in Ultrathin Gate Oxide FET with High-K Dielectrics

Optimization of Direct Tunneling Gate Leakage Current in Ultrathin Gate Oxide FET with High-K Dielectrics Optimization of Direct Tunneling Gate Leakage Current in Ultrathin Gate Oxide FET with High-K Dielectrics Sweta Chander 1, Pragati Singh 2, S Baishya 3 1,2,3 Department of Electronics & Communication Engineering,

More information

Characterization of Variability in Deeply-Scaled Fully Depleted SOI Devices

Characterization of Variability in Deeply-Scaled Fully Depleted SOI Devices Characterization of Variability in Deeply-Scaled Fully Depleted SOI Devices Aikaterini Papadopoulou Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No.

More information

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness

Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness MIT International Journal of Electronics and Communication Engineering, Vol. 4, No. 2, August 2014, pp. 81 85 81 Design Simulation and Analysis of NMOS Characteristics for Varying Oxide Thickness Alpana

More information

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 12. SOI Devices and Circuits

EE E6930 Advanced Digital Integrated Circuits. Spring, 2002 Lecture 12. SOI Devices and Circuits EE E6930 Advanced Digital Integrated Circuits Spring, 2002 Lecture 12. SOI Devices and Circuits References CBF, Chapter 5 On-line course reader on SOI Many slides borrowed from C. T. Chuang s 2001 tutorial

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing 1 Objectives Identify at least two semiconductor materials from the periodic table of elements List n-type and p-type dopants Describe a diode and

More information

Performance Evaluation of MISISFET- TCAD Simulation

Performance Evaluation of MISISFET- TCAD Simulation Performance Evaluation of MISISFET- TCAD Simulation Tarun Chaudhary Gargi Khanna Rajeevan Chandel ABSTRACT A novel device n-misisfet with a dielectric stack instead of the single insulator of n-mosfet

More information

SPECIAL REPORT SOI Wafer Technology for CMOS ICs

SPECIAL REPORT SOI Wafer Technology for CMOS ICs SPECIAL REPORT SOI Wafer Technology for CMOS ICs Robert Simonton President, Simonton Associates Introduction: SOI (Silicon On Insulator) wafers have been used commercially as starting substrates for several

More information

Alternative Channel Materials for MOSFET Scaling Below 10nm

Alternative Channel Materials for MOSFET Scaling Below 10nm Alternative Channel Materials for MOSFET Scaling Below 10nm Doug Barlage Electrical Requirements of Channel Mark Johnson Challenges With Material Synthesis Introduction Outline Challenges with scaling

More information

MOSFET Parasitic Elements

MOSFET Parasitic Elements MOSFET Parasitic Elements Three MITs of the ay Components of the source resistance and their influence on g m and R d Gate-induced drain leakage (GIL) and its effect on lowest possible leakage current

More information

Device architectures for the 5nm technology node and beyond Nadine Collaert

Device architectures for the 5nm technology node and beyond Nadine Collaert Device architectures for the 5nm technology node and beyond Nadine Collaert Distinguished member of technical staff, imec Outline Introduction Beyond FinFET: lateral nanowires and vertical transistors

More information

ATV 2011: Computer Engineering

ATV 2011: Computer Engineering ATV 2011: Technology Trends in Computer Engineering Professor Per Larsson-Edefors ATV 2011, L1, Per Larsson-Edefors Page 1 Solid-State Devices www.cse.chalmers.se/~perla/ugrad/ SemTech/Lectures_2000.pdf

More information