Lecture 8. Thin-Body MOSFET s Process II. Source/Drain Technologies Threshold Voltage Engineering

Size: px
Start display at page:

Download "Lecture 8. Thin-Body MOSFET s Process II. Source/Drain Technologies Threshold Voltage Engineering"

Transcription

1 Atom Probe Tomography for Dopants in FinFETs Lecture 8 A.K. Kambham (imec), VLSI-T 2012 Thin-Body MOSFET s Process II Source/Drain Technologies Threshold Voltage Engineering Reading: multiple research articles (reference list at the end of this lecture)

2 FinFET Source/Drain Doping Challenges: I. Fin Amorphization TCAD Simulation Results L. Pelaz, IEDM (2009) After the high energy implantation, Source/Drain regions are always amorphized. Requires annealing for S/D regrowth to prevent leakage and reliability issues. Source/Drain For <110> FinFETs, the (111) planes will eventually prevent the Si re-crystallization. R. Duffy, APL (2007) 2

3 FinFET Source/Drain Doping Challenges: II. Vertical Conformance TCAD Simulation Results Cross-sectional (left) and lateral (right) view of the B concentration in a FinFET implanted region with: L. Pelaz, IEDM (2009) Energy Dose Tilt a o b o c 0.5 5x o d o 3 After 1100 o C Spike Annealing

4 Effective Channel Length (L eff ) Source Drain Gate Overlap Gate Underlap More reasonable (than L g ) parameter to optimize device performance with a certain gate pitch (i.e. technology node). 4

5 H=25nm H=25nm Impacts of Source/Drain Doping Conformance Conformal S/D Doping W=15nm L g =20nm L eff is always 30nm SOI FinFET w/ Uniform doping in S/D region Non-conformal S/D Doping W=15nm L g =20nm L eff starts from 30nm SOI FinFET w/ Gauss doping in S/D region Doping concentration (cm -3 ) Simulated SOI FinFET Performance 1.0E E E E E-15 V -1.0E ds =0.7V Drain Current (A/um) L gate = 20nm Normalized over W eff = 2*H fin + W fin W=1um Planar FinFET w/ Conformal S/D Dop. FinFET w/ Gaussian S/D Dop Gate Voltage (V) S/D doping conformance strongly affects FinFET s L eff and causing performance change. 5

6 Self-Regulatory Plasma Doping in FinFET s S/D Dense Fins High Aspect- Ratio Fins Y. Sasaki, IEDM (2008) 6

7 S/D Doping Optimizations for Improving FinFET Performance -20% -50% A multi-step implantation with different energies and ion species improves the vertical doping conformance. T. Yamashita, VLSI-T (2011) 7

8 In Situ-Doped S/D in FinFETs TCAD Simulation Results of S/D epi-regrowth after etching In Situ-doped Source Drain Simulation shows the final source/drain shape doesn t depend on the initial Si over etch depth. Courtesy of V. Moroz and M. Choi (Synopsys) (100) (111) Boron Doping Concentration (cm -3 ) Retrograde Well ~ 3 nm/dec Distance along Channel (nm) (011) Intel s TriGate FET s S/D 8

9 Impact of FinFET s Raised S/D Shape H. Kawasaki, IEDM (2009) 9

10 Why We Want Multiple V TH? 10

11 11

12 Back Biasing Tuning in UTBB SOI MOSFETs Body Bias V b =2V V b =0V V b =-2V V ds =1.2V 10-5 Drain Current (A/um) V ds =1.2V n-fdsoi L g =10um V ds =10mV n-fdsoi L eff =52nm T. Skotnicki, IEDM SC (2010) Gate Voltage (V) Gate Voltage (V) Reverse Back Biasing: V BG : NMOS(-), PMOS(+) V TH Forward Back Biasing: V BG : NMOS(+), PMOS(-) V TH 12

13 (Back Biasing) Body Coefficient V g Strong Reverse Bias Strong Forward Bias C ox 1 C ox 1 2 C BOX 2 C BOX Define coefficient r : //, //, so that V TH = r V BG V bg Forward Bias Reverse Bias Body Coefficient Simulated t SOI =11nm t SOI =7nm t SOI =4nm t SOI =5nm Measured t BOX =5nm t BOX =11nm Back Bias (V) 13

14 UTBB SOI MOSFET s V TH Engineering T. Skotnicki, IEDM SC (2010) 14

15 Impact of Back Biasing on UTBB SOI MOSFET s Electrostatics Q. Liu, VLSI-T (2011) STMicroelectronics 28nm UTBB FDSOI MOSFETs DIBL (mv/v) N. Xu, VLSI-T (2012) RBB (or counter-type GP): better electrostatics FBB (or same-type GP): worse electrostatics 15

16 Electron Mobility (cm 2 /V.s) Impact of Back Biasing on UTBB SOI MOSFET s Carrier Mobility UTBB s eff vs. E eff Reduction due to HKMG (First) Back Bias +2V +1.5V +1V 0V -1V -2V (100) Si Universal Effective Field (MV/cm) still follows universal mobility curve However, what matters I ON is eff at a certain N inv. Electron Mobility (cm 2 /V.s) UTBB s eff vs. N inv (100)/<110> n-fdsoi T=300K V b =2V V b =1.5V V b =1V V b =0V V b =-1V V b =-2V Inversion Electron Concentration (cm -2 ) RBB (or counter-type GP): lower mobility FBB (or same-type GP): higher mobility 16

17 Back Biasing in Tri-Gate MOSFET TEM of Nanowire Tri-Gate FET BB-V th Tuning vs. W Fin BB-V th Tuning vs. H Fin Si Back Biasing will be ineffective to tune V TH when the back surface area is relatively small. Not an option for typical FinFETs. M. Saitoh, VLSI-T (2012) 17

18 FinFET s V TH Engineering C.-H. Lin, VLSI-T (2012) Normalized Drain Current (A/um) HP: N fin =1e16, L g =14nm LP: N fin =1e18, L g =20nm N peak =1e19cm -3 N peak =3e18cm -3 N peak =2e18cm -3 N peak =1e18cm Gate Voltage (V) The V TH tuning ability of channel doping is limited in FinFET, due to the shrink of Si volume as well as the superposition from PTS doping profile. Multiple gate length (L eff ) has to be used to tune V TH. 18

19 State-of-the-Art FinFET s SoC Intel s 20nm TriGate MOSFET NMOS: I off -I dsat Wordline R. Brain, VLSI-T (2013) Knobs: gate length (L g & L eff ) Doping (PTS, Fin ) C.-J. Jan, IEDM (2012) 19

20 Summary of FinFET and UTBB SOI MOSFET s V TH Engineering Assuming single gate metal (workfunction) for each type (N or P) of MOSFETs. I OFF (na/um) FinFET I OFF (na/um) UTBB SOI MOSFET 100 na SLVT Low Doping SLVT FBB + GP doping 10 na 1 na 100 pa 10 pa LVT Low Doping, Moderate L g RVT High Doping, Moderate L g HVT High Doping, Long L g I EFF LVT ZBB + GP doping RVT RBB + GP doping HVT RBB + GP doping, Long L g I EFF 20

21 FinFET Source/Drain References 1. L. Pelaz, L. Marques, M. Aboy, P. Lopez, I. Santos, R. Duffy, Atomistic Process Modeling Based on Kinetic Mone Carlo and Molecular Dynamics for Optimization of Advanced Devices, IEEE International Electron Device Meeting Tech. Dig., pp , R. Duffy, M.J.H. Van Dal, B.J. Pawlak, M. Kaiser, R.G.R. Weemaes et al., Solid Phase Epitaxy versus Random Nucleation and Growth in sub-20nm Wide Fin Field-Effect Transistors, AIP Applied Physics Letters, Vol.90, , Y. Sasaki, K. Okashita, K. Nakamoto, T. Kitaoka, B. Mizunoet al., Conformal Doping for FinFETs and Precise Controllable Shallow Doping for Planar FET Manufacturing by a Novel B2H6/Helium Self-Regulatory Plasma Doping Process, IEEE International Electron Device Meeting Tech. Dig., pp , T. Yamashita, V.S. Basker, T. Standaert, C.-C. Yeh, T. Yamamoto et al., Sub-25nm FinFET with Advanced Fin Formation and Short Channel Effect Engineering, Symposium on VLSI Technology Dig., pp.14-15, M. Choi, V. Moroz, L. Smith, O. Penzin, 14nm FinFET Stress Engineering with Epitaxial SiGe Source/Drain, International SiGe Technology and Device Meeting Tech. Dig., H. Kawasaki, V.S. Basker, T. Yamashita, C.-H. Lin, Y. Zhu et al., Challenges and Solutions of FinFET Integration in an SRAM Cell and a Logic Circuit for 22nm Node and Beyond, IEEE International Electron Device Meeting Tech. Dig., pp , UTBB SOI V TH Tuning 7. T. Skotnicki, CMOS Technologies Trends, Scaling and Issues, IEEE International Electron Device Meeting Short Course,

22 References 8. Q. Liu, F. Monsieur, A. Kumar, T. Yamamoto, A. Yagishita et al., Impact of Back Bias on Ultra-Thin Body and BOX (UTBB) Devices, Symposium on VLSI Technology Dig., pp , N. Xu, F. Andrieu, B. Ho, B.-Y. Nguyen, O. Weber et al., Impact of Back Biasing on Carrier Transport in Ultra-Thin-Body and BOX (UTBB) Fully Depleted SOI MOSFETs, Symposium on VLSI Technology Dig., pp , FinFET V TH Tuning 10. M. Saitoh, K. Ota, C. Tanaka, K. Uchida, T. Numata, 10nm-Diameter Tri-Gate Silicon Nanowire MOSFETs with Enhanced High-Field Transport and V TH Tunability through Thin BOX, Symposium on VLSI Technology Dig., pp.11-12, C.-H. Lin, R. Kambhampati, R.J. Miler, T.B. Hook, A. Bryant et al., Channel Doping Impact on FinFETs for 22nm and Beyond, Symposium on VLSI Technology Dig., pp.15-16, (Intel s Tri-Gate SoC) C.-H. Jan, U. Bhattacharya, R. Brian, S.-J. Choi, G. Gurello et al., A 22nm SoC Platform Technology Featuring3-DTri-GateandHigh-k/Metal Gate, Optimized for Ultra Low Power, High Performance and High Density SoC Applications, IEEE International Electron Device Meeting Tech. Dig., pp.44-47, (Intel s Tri-Gate edram) R. Brian, A. Baran, N. Bisnik, H.-P. Chen, S.-J. Choi et al., A 22nm High Performance Embedded DRAM SoC Technology Featuring Tri-Gate Transistors and MIMCAP COB, Symposium on VLSI Technology Dig., pp.16-17,

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random

45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11. Process-induced Variability I: Random 45nm Bulk CMOS Within-Die Variations. Courtesy of C. Spanos (UC Berkeley) Lecture 11 Process-induced Variability I: Random Random Variability Sources and Characterization Comparisons of Different MOSFET

More information

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018

Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 Fin-Shaped Field Effect Transistor (FinFET) Min Ku Kim 03/07/2018 ECE 658 Sp 2018 Semiconductor Materials and Device Characterizations OUTLINE Background FinFET Future Roadmap Keeping up w/ Moore s Law

More information

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France

FDSOI for Low Power System on Chip. M.HAOND STMicroelectronics, Crolles, France FDSOI for Low Power System on Chip M.HAOND STMicroelectronics, Crolles, France OUTLINE Introduction : Motivations for FDSOI FDSOI Presentation & Short Channel control MOS VT Construction Performance Analysis

More information

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5

Eigen # Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET. Lecture 5 Eigen # Gate Gate Hole s Wavefunctions, E-k and Equi-Energy Contours from a P-FinFET Lecture 5 Thin-Body MOSFET Carrier Transport quantum confinement effects low-field mobility: Orientation and Si Thickness

More information

FinFET Devices and Technologies

FinFET Devices and Technologies FinFET Devices and Technologies Jack C. Lee The University of Texas at Austin NCCAVS PAG Seminar 9/25/14 Material Opportunities for Semiconductors 1 Why FinFETs? Planar MOSFETs cannot scale beyond 22nm

More information

Fully Depleted Devices

Fully Depleted Devices 4 Fully Depleted Devices FDSOI and FinFET Bruce Doris, Ali Khakifirooz, Kangguo Cheng, and Terence Hook CONTENTS 4.1 Overview... 71 4.2 Introduction: Challenges of Conventional CMOS Technology...72 4.3

More information

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011

Transistor Scaling in the Innovation Era. Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 Transistor Scaling in the Innovation Era Mark Bohr Intel Senior Fellow Logic Technology Development August 15, 2011 MOSFET Scaling Device or Circuit Parameter Scaling Factor Device dimension tox, L, W

More information

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs

Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Record I on (0.50 ma/μm at V DD = 0.5 V and I off = 100 na/μm) 25 nm-gate-length ZrO 2 /InAs/InAlAs MOSFETs Sanghoon Lee 1*, V. Chobpattana 2,C.-Y. Huang 1, B. J. Thibeault 1, W. Mitchell 1, S. Stemmer

More information

FinFET vs. FD-SOI Key Advantages & Disadvantages

FinFET vs. FD-SOI Key Advantages & Disadvantages FinFET vs. FD-SOI Key Advantages & Disadvantages Amiad Conley Technical Marketing Manager Process Diagnostics & Control, Applied Materials ChipEx-2014, Apr 2014 1 Moore s Law The number of transistors

More information

Drain. Drain. [Intel: bulk-si MOSFETs]

Drain. Drain. [Intel: bulk-si MOSFETs] 1 Introduction For more than 40 years, the evolution and growth of very-large-scale integration (VLSI) silicon-based integrated circuits (ICs) have followed from the continual shrinking, or scaling, of

More information

FinFET-based Design for Robust Nanoscale SRAM

FinFET-based Design for Robust Nanoscale SRAM FinFET-based Design for Robust Nanoscale SRAM Prof. Tsu-Jae King Liu Dept. of Electrical Engineering and Computer Sciences University of California at Berkeley Acknowledgements Prof. Bora Nikoli Zheng

More information

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications

SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications SoC Technology in the Era of 3-D Tri-Gate Transistors for Low Power, High Performance, and High Density Applications Vice President, Technology Manufacturing Group Intel Corporation August 2013 Outlines

More information

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices

Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Atomic-layer deposition of ultrathin gate dielectrics and Si new functional devices Anri Nakajima Research Center for Nanodevices and Systems, Hiroshima University 1-4-2 Kagamiyama, Higashi-Hiroshima,

More information

Semiconductor TCAD Tools

Semiconductor TCAD Tools Device Design Consideration for Nanoscale MOSFET Using Semiconductor TCAD Tools Teoh Chin Hong and Razali Ismail Department of Microelectronics and Computer Engineering, Universiti Teknologi Malaysia,

More information

DUAL MATERIAL PILE GATE APPROACH FOR LOW LEAKAGE FINFET. Sanjay S. Chopade 1*, Dinesh V. Padole 1

DUAL MATERIAL PILE GATE APPROACH FOR LOW LEAKAGE FINFET. Sanjay S. Chopade 1*, Dinesh V. Padole 1 International Journal of Technology (2017) 1: 168-176 ISSN 2086-9614 IJTech 2017 DUAL MATERIAL PILE GATE APPROACH FOR LOW LEAKAGE FINFET Sanjay S. Chopade 1*, Dinesh V. Padole 1 1 Department of Electronics

More information

Performance Evaluation of MISISFET- TCAD Simulation

Performance Evaluation of MISISFET- TCAD Simulation Performance Evaluation of MISISFET- TCAD Simulation Tarun Chaudhary Gargi Khanna Rajeevan Chandel ABSTRACT A novel device n-misisfet with a dielectric stack instead of the single insulator of n-mosfet

More information

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors

A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors A 90 nm High Volume Manufacturing Logic Technology Featuring Novel 45 nm Gate Length Strained Silicon CMOS Transistors T. Ghani, M. Armstrong, C. Auth, M. Bost, P. Charvat, G. Glass, T. Hoffmann*, K. Johnson#,

More information

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS

ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS ADVANCED MATERIALS AND PROCESSES FOR NANOMETER-SCALE FINFETS Tsu-Jae King, Yang-Kyu Choi, Pushkar Ranade^ and Leland Chang Electrical Engineering and Computer Sciences Dept., ^Materials Science and Engineering

More information

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm

Advanced Digital Integrated Circuits. Lecture 2: Scaling Trends. Announcements. No office hour next Monday. Extra office hour Tuesday 2-3pm EE241 - Spring 20 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements No office hour next Monday Extra office hour Tuesday 2-3pm 2 1 Outline

More information

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY

DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY International Journal of Knowledge Management & e-learning Volume 3 Number 1 January-June 2011 pp. 1-5 DG-FINFET LOGIC DESIGN USING 32NM TECHNOLOGY K. Nagarjuna Reddy 1, K. V. Ramanaiah 2 & K. Sudheer

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/6/2007 MOSFETs Lecture 6 BJTs- Lecture 1 Reading Assignment: Chapter 10 More Scalable Device Structures Vertical Scaling is important. For example,

More information

Enabling Breakthroughs In Technology

Enabling Breakthroughs In Technology Enabling Breakthroughs In Technology Mike Mayberry Director of Components Research VP, Technology and Manufacturing Group Intel Corporation June 2011 Defined To be defined Enabling a Steady Technology

More information

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method

Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method Parameter Optimization Of GAA Nano Wire FET Using Taguchi Method S.P. Venu Madhava Rao E.V.L.N Rangacharyulu K.Lal Kishore Professor, SNIST Professor, PSMCET Registrar, JNTUH Abstract As the process technology

More information

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007

Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 6.720J/3.43J - Integrated Microelectronic Devices - Spring 2007 Lecture 33-1 Lecture 33 - The Short Metal-Oxide-Semiconductor Field-Effect Transistor (cont.) April 30, 2007 Contents: 1. MOSFET scaling

More information

Performance Analysis of Vertical Slit Field Effect Transistor

Performance Analysis of Vertical Slit Field Effect Transistor Performance Analysis of Vertical Slit Field Effect Transistor Tarun Chaudhary 1 Gargi Khanna 2 1,2 Electronics and Communication Engineering Department National Institute of Technology, Hamirpur, (HP),

More information

32nm Technology and Beyond

32nm Technology and Beyond 32nm Technology and Beyond Paolo Gargini Chairman ITRS IEEE Fellow Director of Technology Strategy Intel Fellow ISS Europe 2009 P. Gargini 1 Agenda Equivalent Scaling 45nm Technology summary 32nm Technology

More information

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors.

The 3 D Tri Gate transistor is a variant of the FinFET developed at UC Berkeley, and is being used in Intel s 22nmgeneration. microprocessors. On May 4, 2011, Intel Corporation announced what it called the most radical shift in semiconductor technology in 50 years. A new 3 dimensional transistor design will enable the production of integrated

More information

Session 10: Solid State Physics MOSFET

Session 10: Solid State Physics MOSFET Session 10: Solid State Physics MOSFET 1 Outline A B C D E F G H I J 2 MOSCap MOSFET Metal-Oxide-Semiconductor Field-Effect Transistor: Al (metal) SiO2 (oxide) High k ~0.1 ~5 A SiO2 A n+ n+ p-type Si (bulk)

More information

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP)

Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets (DP) Science in China Series E: Technological Sciences 2009 SCIENCE IN CHINA PRESS www.scichina.com tech.scichina.com Performance investigations of novel dual-material gate (DMG) MOSFET with dielectric pockets

More information

Reducing Transistor Variability For High Performance Low Power Chips

Reducing Transistor Variability For High Performance Low Power Chips Reducing Transistor Variability For High Performance Low Power Chips HOT Chips 24 Dr Robert Rogenmoser Senior Vice President Product Development & Engineering 1 HotChips 2012 Copyright 2011 SuVolta, Inc.

More information

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations

ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Workshop on Frontiers of Extreme Computing Santa Cruz, CA October 24, 2005 ITRS MOSFET Scaling Trends, Challenges, and Key Technology Innovations Peter M. Zeitzoff Outline Introduction MOSFET scaling and

More information

DESIGN OF A CHARGE PUMP-BASED BODY BIAS GENERATOR FOR FDSOI CIRCUITS A

DESIGN OF A CHARGE PUMP-BASED BODY BIAS GENERATOR FOR FDSOI CIRCUITS A DESIGN OF A CHARGE PUMP-BASED BODY BIAS GENERATOR FOR FDSOI CIRCUITS A Master's Thesis Submitted to the Faculty of the Escola Tècnica d'enginyeria de Telecomunicació de Barcelona Universitat Politècnica

More information

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation

Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation Australian Journal of Basic and Applied Sciences, 2(3): 406-411, 2008 ISSN 1991-8178 Channel Engineering for Submicron N-Channel MOSFET Based on TCAD Simulation 1 2 3 R. Muanghlua, N. Vittayakorn and A.

More information

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible

Due to the absence of internal nodes, inverter-based Gm-C filters [1,2] allow achieving bandwidths beyond what is possible A Forward-Body-Bias Tuned 450MHz Gm-C 3 rd -Order Low-Pass Filter in 28nm UTBB FD-SOI with >1dBVp IIP3 over a 0.7-to-1V Supply Joeri Lechevallier 1,2, Remko Struiksma 1, Hani Sherry 2, Andreia Cathelin

More information

EECS130 Integrated Circuit Devices

EECS130 Integrated Circuit Devices EECS130 Integrated Circuit Devices Professor Ali Javey 11/01/2007 MOSFETs Lecture 5 Announcements HW7 set is due now HW8 is assigned, but will not be collected/graded. MOSFET Technology Scaling Technology

More information

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si

III-V on Si for VLSI. 200 mm III-V on Si. Accelerating the next technology revolution. III-V nfet on 200 mm Si III-V on Si for VLSI Accelerating the next technology revolution 200 mm III-V on Si III-V nfet on 200 mm Si R. Hill, C. Park, J. Barnett, J. Huang, N. Goel, J. Oh, W.Y. Loh, J. Price, P. Kirsch, P, Majhi,

More information

III-V CMOS: Quo Vadis?

III-V CMOS: Quo Vadis? III-V CMOS: Quo Vadis? J. A. del Alamo, X. Cai, W. Lu, A. Vardi, and X. Zhao Microsystems Technology Laboratories Massachusetts Institute of Technology Compound Semiconductor Week 2018 Cambridge, MA, May

More information

Nanoscale III-V CMOS

Nanoscale III-V CMOS Nanoscale III-V CMOS J. A. del Alamo Microsystems Technology Laboratories Massachusetts Institute of Technology SEMI Advanced Semiconductor Manufacturing Conference Saratoga Springs, NY; May 16-19, 2016

More information

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET)

3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) 3-D Modelling of the Novel Nanoscale Screen-Grid Field Effect Transistor (SGFET) Pei W. Ding, Kristel Fobelets Department of Electrical Engineering, Imperial College London, U.K. J. E. Velazquez-Perez

More information

SCALING AND NUMERICAL SIMULATION ANALYSIS OF 50nm MOSFET INCORPORATING DIELECTRIC POCKET (DP-MOSFET)

SCALING AND NUMERICAL SIMULATION ANALYSIS OF 50nm MOSFET INCORPORATING DIELECTRIC POCKET (DP-MOSFET) SCALING AND NUMERICAL SIMULATION ANALYSIS OF 50nm MOSFET INCORPORATING DIELECTRIC POCKET (DP-MOSFET) Zul Atfyi Fauzan M. N., Ismail Saad and Razali Ismail Faculty of Electrical Engineering, Universiti

More information

A novel GAAC FinFET transistor: device analysis, 3D TCAD simulation, and fabrication

A novel GAAC FinFET transistor: device analysis, 3D TCAD simulation, and fabrication Vol.30, No.1 Journal of Semiconductors January 2009 A novel GAAC FinFET transistor: device analysis, 3D TCAD simulation, and fabrication Xiao Deyuan( 肖德元 ) 1,2,, Wang Xi( 王曦 ) 1, Yuan Haijiang( 袁海江 ) 3,

More information

Research Needs for Device Sciences Modeling and Simulation (May 6, 2005)

Research Needs for Device Sciences Modeling and Simulation (May 6, 2005) Research Needs for Device Sciences Modeling and Simulation (May 6, 2005) SRC Device Sciences 2005 Modeling and Simulation Task Force Contributing organizations: Axcelis, Freescale, IBM, Intel, LSI, SRC,

More information

Novel 14-nm Scallop-Shaped FinFETs (S-FinFETs) on Bulk-Si Substrate

Novel 14-nm Scallop-Shaped FinFETs (S-FinFETs) on Bulk-Si Substrate Xu et al. Nanoscale Research Letters (2015) 10:249 DOI 10.1186/s11671-015-0958-4 NANO EXPRESS Open Access Novel 14-nm Scallop-Shaped FinFETs (S-FinFETs) on Bulk-Si Substrate Weijia Xu, Huaxiang Yin *,

More information

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION

DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION Journal of Electron Devices, Vol. 18, 2013, pp. 1537-1542 JED [ISSN: 1682-3427 ] DESIGN OF 20 nm FinFET STRUCTURE WITH ROUND FIN CORNERS USING SIDE SURFACE SLOPE VARIATION Suman Lata Tripathi and R. A.

More information

Substrate Bias Effects on Drain Induced Barrier Lowering (DIBL) in Short Channel NMOS FETs

Substrate Bias Effects on Drain Induced Barrier Lowering (DIBL) in Short Channel NMOS FETs Australian Journal of Basic and Applied Sciences, 3(3): 1640-1644, 2009 ISSN 1991-8178 Substrate Bias Effects on Drain Induced Barrier Lowering (DIBL) in Short Channel NMOS FETs 1 1 1 1 2 A. Ruangphanit,

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

FD-SOI Technology. Bich-Yen Nguyen Soitec

FD-SOI Technology. Bich-Yen Nguyen Soitec FD-SOI Technology Bich-Yen Nguyen Soitec Agenda 1 FD-SOI technology overview 2 Markets, foundries offers & ecosystems 3 FD-SOI material & roadmap 4 Summary 10/10/2017 2 SOITEC Confidential FD-SOI technology

More information

Evaluation of STI degradation using temperature dependence of leakage current in parasitic STI MOSFET

Evaluation of STI degradation using temperature dependence of leakage current in parasitic STI MOSFET Evaluation of STI degradation using temperature dependence of leakage current in parasitic STI MOSFET Oleg Semenov a, Michael Obrecht b and Manoj Sachdev a a Dept. of Electrical and Computer Engineering,

More information

Logic Technology Development, *QRE, ** TCAD Intel Corporation

Logic Technology Development, *QRE, ** TCAD Intel Corporation A 32nm Logic Technology Featuring 2nd-Generation High-k + Metal-Gate Transistors, Enhanced Channel Strain and 0.171um 2 SRAM Cell Size in a 291Mb Array S. Natarajan, M. Armstrong, M. Bost, R. Brain, M.

More information

CMOS Scaling and Variability

CMOS Scaling and Variability WIMNACT WS & IEEE EDS Mini-colloquim on Nano-CMOS Technology January 3, 212, TITECH, Japan CMOS Scaling and Variability 212. 1. 3 NEC Tohru Mogami WIMNACT WS 212, January 3, Titech 1 Acknowledgements I

More information

Challenges and Innovations in Nano CMOS Transistor Scaling

Challenges and Innovations in Nano CMOS Transistor Scaling Challenges and Innovations in Nano CMOS Transistor Scaling Tahir Ghani Intel Fellow Logic Technology Development October, 2009 Nikkei Presentation 1 Outline Traditional Scaling Traditional Scaling Limiters,

More information

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering

Low-Power VLSI. Seong-Ook Jung VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Low-Power VLSI Seong-Ook Jung 2013. 5. 27. sjung@yonsei.ac.kr VLSI SYSTEM LAB, YONSEI University School of Electrical & Electronic Engineering Contents 1. Introduction 2. Power classification & Power performance

More information

Characterization of Variability in Deeply-Scaled Fully Depleted SOI Devices

Characterization of Variability in Deeply-Scaled Fully Depleted SOI Devices Characterization of Variability in Deeply-Scaled Fully Depleted SOI Devices Aikaterini Papadopoulou Electrical Engineering and Computer Sciences University of California at Berkeley Technical Report No.

More information

Integrated CMOS Tri-Gate Transistors: Paving the Way to Future Technology Generations

Integrated CMOS Tri-Gate Transistors: Paving the Way to Future Technology Generations Page 1 Integrated CMOS Tri-Gate Transistors: Paving the Way to Future Technology Generations Robert S. Chau, Intel Senior Fellow Copyright Intel Corporation 2006. *Third-party brands and names are the

More information

EFFECT OF STRUCTURAL AND DOPING PARAMETER VARIATIONS ON NQS DELAY, INTRINSIC GAIN AND NF IN JUNCTIONLESS FETS

EFFECT OF STRUCTURAL AND DOPING PARAMETER VARIATIONS ON NQS DELAY, INTRINSIC GAIN AND NF IN JUNCTIONLESS FETS EFFECT OF STRUCTURAL AND DOPING PARAMETER VARIATIONS ON NQS DELAY, INTRINSIC GAIN AND NF IN JUNCTIONLESS FETS B. Lakshmi 1 and R. Srinivasan 2 1 School of Electronics Engineering, VIT University, Chennai,

More information

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration

High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration High-Performance Si Nanowire FET with a Semi Gate-Around Structure Suitable for Integration Soshi Sato 1, Hideyuki Kamimura 1, Hideaki Arai 1, Kuniyuki Kakushima 2, Parhat Ahmet 1, Kenji Ohmori 3, Keisaku

More information

FinFETs have emerged as the solution to short channel

FinFETs have emerged as the solution to short channel IEEE TRANSACTIONS ON ELECTRON DEVICES 1 Fin Shape Impact on FinFET Leakage With Application to Multithreshold and Ultralow-Leakage FinFET Design Brad D. Gaynor and Soha Hassoun, Senior Member, IEEE Abstract

More information

40nm Node CMOS Platform UX8

40nm Node CMOS Platform UX8 FUKAI Toshinori, IKEDA Masahiro, TAKAHASHI Toshifumi, NATSUME Hidetaka Abstract The UX8 is the latest process from NEC Electronics. It uses the most advanced exposure technology to achieve twice the gate

More information

Practical Information

Practical Information EE241 - Spring 2013 Advanced Digital Integrated Circuits MW 2-3:30pm 540A/B Cory Practical Information Instructor: Borivoje Nikolić 509 Cory Hall, 3-9297, bora@eecs Office hours: M 11-12, W 3:30pm-4:30pm

More information

NAME: Last First Signature

NAME: Last First Signature UNIVERSITY OF CALIFORNIA, BERKELEY College of Engineering Department of Electrical Engineering and Computer Sciences EE 130: IC Devices Spring 2003 FINAL EXAMINATION NAME: Last First Signature STUDENT

More information

Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate

Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate Performance and Reliability of the sub-100nm FDSOI with High-K K and Metal Gate Bich-Yen Nguyen, Anne Vandooren, Aaron Thean, Sriram Kalpat, Melissa Zavala, Jeff Finder, Ted White, Skip Egley, Jamie Schaeffer,

More information

Lecture 27 ANNOUNCEMENTS. Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website

Lecture 27 ANNOUNCEMENTS. Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website Lecture 27 ANNOUNCEMENTS Regular office hours will end on Monday 12/10 Special office hours will be posted on the EE105 website Final Exam Review Session: Friday 12/14, 3PM, HP Auditorium Video will be

More information

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN

International Journal of Scientific & Engineering Research, Volume 6, Issue 2, February-2015 ISSN Performance Evaluation and Comparison of Ultra-thin Bulk (UTB), Partially Depleted and Fully Depleted SOI MOSFET using Silvaco TCAD Tool Seema Verma1, Pooja Srivastava2, Juhi Dave3, Mukta Jain4, Priya

More information

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already

EE241 - Spring 2013 Advanced Digital Integrated Circuits. Announcements. Sign up for Piazza if you haven t already EE241 - Spring 2013 Advanced Digital Integrated Circuits Lecture 2: Scaling Trends and Features of Modern Technologies Announcements Sign up for Piazza if you haven t already 2 1 Assigned Reading R.H.

More information

UTBB FD-SOI: a Process/Design symbiosis for breakthrough energy-efficiency

UTBB FD-SOI: a Process/Design symbiosis for breakthrough energy-efficiency UTBB FD-SOI: a Process/Design symbiosis for breakthrough energy-efficiency Philippe Magarshack, Philippe Flatresse, Giorgio Cesana STMicroelectronics Technology R&D Crolles, France philippe.magarshack@st.com

More information

CMOS Scaling Beyond FinFETs: Nanowires and TFETs

CMOS Scaling Beyond FinFETs: Nanowires and TFETs SEMATECH Symposium June 23, 2011 Tokyo Accelerating the next technology revolution CMOS Scaling Beyond FinFETs: Nanowires and TFETs Chris Hobbs, Wei-Yip Loh, Kerem Akarvardar, Paul Kirsch, and Raj Jammy

More information

Double-Gate SOI Devices for Low-Power and High-Performance Applications

Double-Gate SOI Devices for Low-Power and High-Performance Applications Double-Gate SOI Devices for Low-Power and High-Performance Applications Kaushik Roy*, Hamid Mahmoodi**, Saibal Mukhopadhyay*, Hari Ananthan*, Aditya Bansal*, and Tamer Cakici* *Dept. of Electrical and

More information

III-V CMOS: the key to sub-10 nm electronics?

III-V CMOS: the key to sub-10 nm electronics? III-V CMOS: the key to sub-10 nm electronics? J. A. del Alamo Microsystems Technology Laboratories, MIT 2011 MRS Spring Meeting and Exhibition Symposium P: Interface Engineering for Post-CMOS Emerging

More information

Solid State Device Fundamentals

Solid State Device Fundamentals Solid State Device Fundamentals 4.4. Field Effect Transistor (MOSFET) ENS 463 Lecture Course by Alexander M. Zaitsev alexander.zaitsev@csi.cuny.edu Tel: 718 982 2812 4N101b 1 Field-effect transistor (FET)

More information

Alternatives to standard MOSFETs. What problems are we really trying to solve?

Alternatives to standard MOSFETs. What problems are we really trying to solve? Alternatives to standard MOSFETs A number of alternative FET schemes have been proposed, with an eye toward scaling up to the 10 nm node. Modifications to the standard MOSFET include: Silicon-in-insulator

More information

N-channel Junction-less Vertical Slit Field-Effect Transistor (VeSFET): Fabrication-based Feasibility Assessment

N-channel Junction-less Vertical Slit Field-Effect Transistor (VeSFET): Fabrication-based Feasibility Assessment 2012 International Conference on Solid-State and Integrated Circuit (ICSIC 2012) IPCSIT vol. 32 (2012) (2012) IACSIT Press, Singapore N-channel Junction-less Vertical Slit Field-Effect Transistor (VeSFET):

More information

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET

6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET 110 6. LDD Design Tradeoffs on Latch-Up and Degradation in SOI MOSFET An experimental study has been conducted on the design of fully depleted accumulation mode SOI (SIMOX) MOSFET with regard to hot carrier

More information

Resistive Bridging Defect Detection in Bulk, FDSOI and FinFET Technologies

Resistive Bridging Defect Detection in Bulk, FDSOI and FinFET Technologies J Electron Test (2017) 33:515 527 DOI 10.1007/s10836-017-5674-9 Resistive Bridging Defect Detection in Bulk, FDSOI and FinFET Technologies Amit Karel 1 & Mariane Comte 1 & Jean-Marc Galliere 1 & Florence

More information

Design of 45 nm Fully Depleted Double Gate SOI MOSFET

Design of 45 nm Fully Depleted Double Gate SOI MOSFET Design of 45 nm Fully Depleted Double Gate SOI MOSFET 1. Mini Bhartia, 2. Shrutika. Satyanarayana, 3. Arun Kumar Chatterjee 1,2,3. Thapar University, Patiala Abstract Advanced MOSFETS such as Fully Depleted

More information

Advanced PDK and Technologies accessible through ASCENT

Advanced PDK and Technologies accessible through ASCENT Advanced PDK and Technologies accessible through ASCENT MOS-AK Dresden, Sept. 3, 2018 L. Perniola*, O. Rozeau*, O. Faynot*, T. Poiroux*, P. Roseingrave^ olivier.faynot@cea.fr *Cea-Leti, Grenoble France;

More information

Design of Optimized Digital Logic Circuits Using FinFET

Design of Optimized Digital Logic Circuits Using FinFET Design of Optimized Digital Logic Circuits Using FinFET M. MUTHUSELVI muthuselvi.m93@gmail.com J. MENICK JERLINE jerlin30@gmail.com, R. MARIAAMUTHA maria.amutha@gmail.com I. BLESSING MESHACH DASON blessingmeshach@gmail.com.

More information

ISSN: [Soni* et al., 6(4): April, 2017] Impact Factor: 4.116

ISSN: [Soni* et al., 6(4): April, 2017] Impact Factor: 4.116 IJESRT INTERNATIONAL JOURNAL OF ENGINEERING SCIENCES & RESEARCH TECHNOLOGY A COMPARITIVELY ANALISIS OF VARIOUS CMOS FINFET STRUCTURE Ragini Soni*, Mrs. Jyotsna Sagar * M.Tech Student (VLSI ) Asst. Professor,

More information

PERFORMANCE EVALUATION OF FD-SOI MOSFETS FOR DIFFERENT METAL GATE WORK FUNCTION

PERFORMANCE EVALUATION OF FD-SOI MOSFETS FOR DIFFERENT METAL GATE WORK FUNCTION PERFORMANCE EVALUATION OF FD-SOI MOSFETS FOR DIFFERENT METAL GATE WORK FUNCTION Deepesh Ranka 1, Ashwani K. Rana 2, Rakesh Kumar Yadav 3, Kamalesh Yadav 4, Devendra Giri 5 # Department of Electronics and

More information

Experimentally reported sub-60mv/dec

Experimentally reported sub-60mv/dec Experimentally reported sub-60mv/dec swing in Tunnel FETs? 1 We considered InAs conventional, lateral transistor architectures: GAA nanowire, Fin FETs FETs (Tri gate) UTB,DG SOI Analysis is not directly

More information

Analog Performance of Scaled Bulk and SOI MOSFETs

Analog Performance of Scaled Bulk and SOI MOSFETs Analog Performance of Scaled and SOI MOSFETs Sushant S. Suryagandh, Mayank Garg, M. Gupta, Jason C.S. Woo Department. of Electrical Engineering University of California, Los Angeles CA 99, USA. woo@icsl.ucla.edu

More information

Lecture #29. Moore s Law

Lecture #29. Moore s Law Lecture #29 ANNOUNCEMENTS HW#15 will be for extra credit Quiz #6 (Thursday 5/8) will include MOSFET C-V No late Projects will be accepted after Thursday 5/8 The last Coffee Hour will be held this Thursday

More information

Intel Technology Journal

Intel Technology Journal Volume 06 Issue 02 Published, May 16, 2002 ISSN 1535766X Intel Technology Journal Semiconductor Technology and Manufacturing Transistor Elements for 30nm Physical Gate Length and Beyond A compiled version

More information

A novel high performance 3 VDD-tolerant ESD detection circuit in advanced CMOS process

A novel high performance 3 VDD-tolerant ESD detection circuit in advanced CMOS process LETTER IEICE Electronics Express, Vol.14, No.21, 1 10 A novel high performance 3 VDD-tolerant ESD detection circuit in advanced CMOS process Xiaoyun Li, Houpeng Chen a), Yu Lei b), Qian Wang, Xi Li, Jie

More information

Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor

Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor Electrical Characterization of a Second-gate in a Silicon-on-Insulator Transistor Antonio Oblea: McNair Scholar Dr. Stephen Parke: Faculty Mentor Electrical Engineering As an independent double-gate, silicon-on-insulator

More information

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE

Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE RESEARCH ARTICLE OPEN ACCESS Performance Comparison of CMOS and Finfet Based Circuits At 45nm Technology Using SPICE Mugdha Sathe*, Dr. Nisha Sarwade** *(Department of Electrical Engineering, VJTI, Mumbai-19)

More information

Measurement and modelling of specific behaviors in 28nm FD SOI UTBB MOSFETs of importance for analog / RF amplifiers

Measurement and modelling of specific behaviors in 28nm FD SOI UTBB MOSFETs of importance for analog / RF amplifiers Measurement and modelling of specific behaviors in 28nm FD SOI UTBB MOSFETs of importance for analog / RF amplifiers Denis Flandre, Valeriya Kilchytska, Cecilia Gimeno, David Bol, Babak Kazemi Esfeh, Jean-Pierre

More information

Fabrication and Electrical Properties of Local Damascene FinFET Cell Array in Sub-60nm Feature Sized DRAM

Fabrication and Electrical Properties of Local Damascene FinFET Cell Array in Sub-60nm Feature Sized DRAM JOURNAL OF SEMICONDUCTOR TECHNOLOGY AND SCIENCE, VOL.6, NO.2, JUNE, 2006 61 Fabrication and Electrical Properties of Local Damascene FinFET Cell Array in Sub-60nm Feature Sized DRAM Yong-Sung Kim*, Soo-Ho

More information

ECE 340 Lecture 37 : Metal- Insulator-Semiconductor FET Class Outline:

ECE 340 Lecture 37 : Metal- Insulator-Semiconductor FET Class Outline: ECE 340 Lecture 37 : Metal- Insulator-Semiconductor FET Class Outline: Metal-Semiconductor Junctions MOSFET Basic Operation MOS Capacitor Things you should know when you leave Key Questions What is the

More information

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS

A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS A BRIEF STUDY ON CHALLENGES OF MOSFET AND EVOLUTION OF FINFETS ABSTRACT J.Shailaja 1, Y.Priya 2 1 ECE Department, Sphoorthy Engineering College (India) 2 ECE,Sphoorthy Engineering College, (India) The

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs

Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs Nanoscale III-V Electronics: from Quantum-Well Planar MOSFETs to Vertical Nanowire MOSFETs J. A. del Alamo Microsystems Technology Laboratories, MIT Purdue University, West Lafayette, IN; September 29,

More information

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors

Study of Pattern Area of Logic Circuit. with Tunneling Field-Effect Transistors Contemporary Engineering Sciences, Vol. 6, 2013, no. 6, 273-284 HIKARI Ltd, www.m-hikari.com http://dx.doi.org/10.12988/ces.2013.3632 Study of Pattern Area of Logic Circuit with Tunneling Field-Effect

More information

Power MOSFET Zheng Yang (ERF 3017,

Power MOSFET Zheng Yang (ERF 3017, ECE442 Power Semiconductor Devices and Integrated Circuits Power MOSFET Zheng Yang (ERF 3017, email: yangzhen@uic.edu) Evolution of low-voltage (

More information

Power FINFET, a Novel Superjunction Power MOSFET

Power FINFET, a Novel Superjunction Power MOSFET Power FINFET, a Novel Superjunction Power MOSFET Wai Tung Ng Smart Power Integration & Semiconductor Devices Research Group Department of Electrical and Computer Engineering Toronto, Ontario Canada, M5S

More information

Session 3: Solid State Devices. Silicon on Insulator

Session 3: Solid State Devices. Silicon on Insulator Session 3: Solid State Devices Silicon on Insulator 1 Outline A B C D E F G H I J 2 Outline Ref: Taurand Ning 3 SOI Technology SOl materials: SIMOX, BESOl, and Smart Cut SIMOX : Synthesis by IMplanted

More information

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010

INTERNATIONAL JOURNAL OF APPLIED ENGINEERING RESEARCH, DINDIGUL Volume 1, No 3, 2010 Low Power CMOS Inverter design at different Technologies Vijay Kumar Sharma 1, Surender Soni 2 1 Department of Electronics & Communication, College of Engineering, Teerthanker Mahaveer University, Moradabad

More information

Bridging the Gap between Dreams and Nano-Scale Reality

Bridging the Gap between Dreams and Nano-Scale Reality Bridging the Gap between Dreams and Nano-Scale Reality Ban P. Wong Design Methodology, Chartered Semiconductor wongb@charteredsemi.com 28 July 2006 Outline Deficiencies in Boolean-based Design Rules in

More information

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth

Record Extrinsic Transconductance (2.45 ms/μm at V DS = 0.5 V) InAs/In 0.53 Ga 0.47 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Record Extrinsic Transconductance (2.45 ms/μm at = 0.5 V) InAs/In 0.53 Ga 7 As Channel MOSFETs Using MOCVD Source-Drain Regrowth Sanghoon Lee 1*, C.-Y. Huang 1, A. D. Carter 1, D. C. Elias 1, J. J. M.

More information

4: Transistors Non idealities

4: Transistors Non idealities 4: Transistors Non idealities Inversion Major cause of non-idealities/complexities: Who controls channel (and how)? Large Body(Substrate) Source Voltage V G V SB - - - - - - - - n+ n+ - - - - - - - - -

More information

Partially-insulated MOSFET (PiFET) and Its Application to DRAM Cell Transistor

Partially-insulated MOSFET (PiFET) and Its Application to DRAM Cell Transistor 30 CHANG WOO OH et al : PARTIALLY-INSULATED MOSFET (PIFET) AND ITS APPLICATION TO DRAM CELL TRANSISTOR Partially-insulated MOSFET (PiFET) and Its Application to DRAM Cell Transistor Chang Woo Oh, Sung

More information