Advanced Device Fabrication Techniques. ChiiDong Chen

Size: px
Start display at page:

Download "Advanced Device Fabrication Techniques. ChiiDong Chen"

Transcription

1 Advanced Device Fabrication Techniques TIGP lecture, NTU ChiiDong Chen Institute of Physics, Academia Sinica url:

2 Outline: 1 State-of-the-art device fabrication techniques Future light sources: EUV and e-beam 2 e-beam lithography 3 Examples: nano-pore based point contact devices nano electronic devices

3 Lithography = Pattern transferring

4 Standard etching process CVD, Thermal, e-gun, Sputtering, spin-coating remove exposed part (for positive-tone PR) selective dry/wet etching spin-coating UV light remove resist mask contact, projection finished pattern mask plate

5 Complementary process: lift-off remove exposed part (for positive-tone PR) spin-coating Thermal, e-gun, Sputtering UV light resist mask remove excess film contact, projection finished pattern mask plate

6 Substrate treatment process selective dry/wet etching or doping spin-coating UV light remove resist mask mask plate Contact or Projection exposure finished pattern

7 Experimental transistors for future process generations 65nm process 2005 production 45nm process 2007 production CMOS 0.8 nm conventional gate oxide 32nm process 2009 production 22nm process 2011 production Intel C. Michael Garner

8 Moore s Law: a 30% decrease in the size of printed dimensions every two years

9 Large circuit functions on a single semiconductor substrate = Reduced cost! >220M Transistors Integrated into Devices Produced Today source: Intel

10 SOURCES OF RADIATION FOR MICROLITHOGRAPHY Arc lamps (Hg-Xe) KrF (Krypton Fluoride) ArF (Argon Fluoride) Minimum feature size is scaling faster than lithography wavelength Advanced photo mask techniques help to bridge the gap Mark Bohr, Intel

11 The Ultimates of Optical Lithography Resolution: R=k 1 (λ/na) NA = sinθ = numerical aperture K 1 = a constant for a specific lithography process smaller K1 can be achieved by improving the process or resist contrast Depth of Focus DoF=k 2 (λ/na 2 ) Calculated R and DoF values UV wavelength 248 nm 193 nm 157 nm 13.4 nm Typical NA Production value of k Resolution 0.17 µm 0.13 µm 0.11 µm µm DoF (assuming k 2 = 1) 0.44 µm 0.34 µm 0.28 µm 0.21 µm P.F. Carcia et al. DuPoint Photomasks, Vacuum and Thin Film (1999)

12 Optical Proximity Correction used in 90 nm (193nm) production line Drawn structure Add OPC features Mask structure Printed on wafer Mark Bohr, Intel

13 Two types of phase shift mask Alternating aperture phase shift mask Embedded attenuating phase shift mask Cr Shifter 0 Amplitude at mask 0 Absorbing phase-shifter 6~18% transmittance 0 Amplitude at wafer 0 1. dark line appears at the center 2. Applicable only in limited structures 0 Intensity at wafer 0 1. Can even improve DoF 2. Use MoSi x O y N z, SiN x or CrO x F y instead of Cr Ref: P.F. Carcia et al. DuPoint Photomasks, Vacuum and Thin Film

14 Material Engineering gains importance!

15 90 nm Generation Transistor This is nano technology! source: Intel develop forum Spring, 2003

16 Nano materials will play an important role in the silicon nanotechnology platform Interconnectors with high electrical conductivity Low K interlevel Dielectric High K gate oxide Strained Si J. Brinker, UNM/Sandia National Labs Photoresist 0.2 µm C. Michael Garner, Intel, Sept.16, 2003

17 Introduction of high-k gate dielectric 90 nm process Experimental high-k Capacitance 1X 1.6X Leakage 1X <0.01X Carolyn Block, Intel

18 A message from Intel Compress P-doped regions by filling SiGe into carved trenches, hole conduction increased by 25% Stretch N-doped regions by annealing SixNy cover layer, electron conduction increased by10% Traditional MOS new PMOS new NMOS Graded SiGe layer Selective SiGe S-D Tensile Si 3 N 4 Cap Strained silicon benefits Strained silicon lattice increases electron and hole mobility Greater mobility results in 10-20% increase in transistor drive current (higher performance) Both NMOS and PMOS transistors improved Intel develop forum

19 Introduction of new materials 1st Production Process Generation nm m m m 65 nm 45 nm 32 nm 22 nm Wafer Size (mm) / Inter-connect Al Al Al Cu Cu Cu Cu? Channel Si Si Si Strained Si Strained Si Strained Si Strained Si Strained Si Gate dielectric SiO 2 SiO 2 SiO 2 SiO 2 SiO 2 High-k High-k High-k Gate electrode PolySi PolySi PolySi PolySi PolySi Metal Metal Metal source: Intel develop forum

20 Three types of new Fully Depleted Transistors SOI wafer gate Handling Si wafer Si BOX Planar fully depleted SOI Non-planar Double-gate (FinFET) Non-planar Tri-gate

21 Fully Depleted Transistors made on SOI wafers Non-planar Double-gate (FinFET) Non-planar Tri-gate Raised S-D using Selective Epi-Si Deposition Robert Chau, Intel

22 From Tri-gate transistors to Nano-wire transistors depletion electric field Tri-gate transistor Nano-wire transistor

23 Future light sources: Extreme UV Electron beam

24 EUV exposure tool Uses very short 13.4 nm light 13.4 nm radiation absorbed by all materials Requires reflective optics coated with quarter-wave Bragg reflectors Uses reflective reticles with patterned absorbers Vacuum operation Intel Corporation & EUV LLC Charles (Chuck) W. Gwyn

25 EUV reflective mask 13 nm EUV light reticles Cr absorber Si0 2 buffer Si capping 40 Mo/Si pairs Mo (~2.8nm) Si (~4.1nm) Intel EUV mask low thermal expansion glass substrate

26 EUV mask and patterned resist 90 nm Elbows in 350 nm polysi Source: Intel

27 Electron-Beam Lithography Electron Beam (e-beam) Gun: Electrons generated by: Thermionic emission from a hot filament. Field aided emission by applying a large electric field to a filament. Or a combination of the two. Filament is negatively biased (cathode) and electrons are accelerated to the substrate at typically kev. 2 2 ev = h k 2m e λ 0.25 ~ 0.12nm E-beam is focused to a small spot size using: Electrostatic lenses Magnetic fields Apertures A scanned e-beam spot writes the image in the resist one pixel at a time. X,Y direction of beam is controlled by electrostatic plates.

28 ELECTRON BEAM LITHOGRAPHY SYSTEM EOC ONTROL SYSTEM EOC EOC CONTROL EXPOSURE CONTROL SYSTEM STAGE CONTROL SYSTEM CONTROL LASER IF INTERFEROMETER CPU STAGE X-Y-Z STAGE CONTROL VACUUM VACUUM PUMP CONTROL VACUUM CONTROL SYSTEM

29 JEOL JBX-9300FS ELIONIX ELS-7000

30 ELECTRON OPTICS SYSTEM TFE electron gun Acceleration tube ION PUMP ION PUMP Alignment coil Blanking electrode Blanking aperture Electromagnetic lens ION PUMP Alignment coil Objective aperture Objective lens

31 Electron Optics Acceleration tube Blanking electro Blanking aperture Electromagnetic lens Objective aperture Objective lens Z Y X X-Y-Z Stage

32 ZrO/W THERMAL FIELD EMISSION GUN Polycrystalline tungsten Heating filament ZrO Reservoir Filament Emitter 100 W Crystal Outgassing Ports Suppressor Cap Base

33 Beam blanking 1 st Electrostatic Blankng Blanking aperture 2 nd Electrostatic Blanking

34 Electronmagnetic Alignment 1 st Alignment coil 2 nd Alignment coil Electronmagnetic lens

35 Electrostatic Deflector 1 st Electrostatic Deflecto 2 nd Electrostatic Deflector Objective lens

36 Beam spot size vs. beam current for different apertures ELS 7000 Vacc=100kV

37 Modification of an SEM based e-beam writer Electron source Blanking circuit Beam blanker Condense lens CAD PC XY deflection DAC RS232 A SEM internal XY scan signal Magnification, etc Step motor control X Y Object lens aperture Deflection coils Seconduary electron detector Faraday cup and gold standard Sample stage insulator image ADC Im

38 Comparison between 30keV and 100keV e-beam writer Incident electrons resist substrate Distribution of forward electrons Distribution of secondary electrons 30keV 30keV 100keV 100keV 1. Good for prototype test 2. Thin resist line-width < 30nm 3. Clear align key image 4. Good for lift-off process 5. Lack of stage stability 1. Good for large area exposure 2. Thin resist line-width < 10nm 3. Require thick/clear align keys 4. Require extra resist engineering 5. Stable/accurate stage stability

39 Principal of Electron Beam Exposure Electron beam Positive-tone e-beam resist substrate Backscattered electrons secondary electrons traces of electrons After development Proximity effect: main resolution limiting factor primary electrons backscattered electrons secondary electrons stray exposure

40 Lift-off and a) Etching processes PMMA (200~400 nm) substrate spin coating + curing a) PMMA (200~400 nm) substrate spin coating on film electron beam exposure electron beam exposure b) b) braking chemical bonds braking chemical bonds c) resist c) development 100 nm silicon development O 2 plasma reactive ion etching d) d) clean residual resist dry or wet etching deposition acetone bath e) evaporation from point source lift-off e) remove resist f) remove resist

41 Resist profile made by high energy beam exposure 200nm PMMA LOR 500nm

42 Controlling undercut in bottom layer resist (a) (b) (c) 200 Undercut(nm) Linewidth 100nm Linewidth 70nm Linewidth 50nm Linewidth 30nm Linewidth 20nm 1µm Development Time(sec)

43 quasi-3d polymer photonic crystal Transmission spectra, different lattice constants Transmission (a.u.) 400nm Transmission (a.u.) 350nm 2µm Wavelength(nm) Wavelength(nm) Frequency (ω a/2πc) M K TE TM Transmission (a.u.) 300nm Wavelength(nm) Transmission (a.u.) random Wavelength(nm)

44 3D polymer structures 500nm (a) (b) 1µm 2µm

45 Examples of 100keV e-beam lithography 3nm NiCr wire 13nm Au wire 8 nm negative-tone inorganic resist D. R. S. Cumming et al, Microelectronic Engineering 30 (1996), 423 Machine : Modified JEOL 100CXII Kelvin Nanotechnology Ltd M. Kamp et al. J. Vac. Sci. Technol. B, 17, 86, (1999) Machine : Eiko E 100 M. S. M. Saifullah et al., Jpn. J. Appl. Phys. 38 (1999) K. Yamazaki et al., Proc. SPIE (2000) 458. Machine : 100-keV e-beam writer NTT Basic Research Laboratories

46 Sub-10 nm Electron Beam Nanolithography Using Spin Coatable TiO 2 Resists University of Cambridge and Leica Microsystems Lithography Limited Leica VB6-UHR-EWF 100keV M. S. M. Saifullah,et al., Nano Letters, 3, 1587 (2003)

47

48 Issues related to the integrated circuit industry: Slow throughput A 0.1 µm diameter beam is < the area of a 6 wafer. Projection EBL Systems (SCALPEL): scattering with angular limitation in projection electron beam lithography beam of electrons membrane scatterer screening mask Lens 1 back focal plane filter reduced image on Lens 2

49 Multibeam direct-write electron beam lithography system Single source with correction lens array Multi-source with single electron optical column ~50 wafers/hr 2µm ~60 wafers/hr a tip with focus electrode M. Muraki et al. J. Vac. Sci. Technol. B 18(6), 3061, 2000 Canon Inc., E. Yin et al. J. Vac. Sci. Technol. B 18(6), 3126, 2000 Ion Diagnostics Incorporated

50 Take home message: Extreme ultraviolet electron beam projection are considered leading contenders for next generation lithography However, electron beam direct write system is a maskless lithography. eliminating mask amortization costs and speed up chip development cycles. The ultimate resolution of electron beam lithography remains to be explored Main applications: manufacture of small volume specialty products direct write for advanced prototyping of integrated circuits studies of quantum effects and other novel physics phenomena at very small dimensions

State-of-the-art device fabrication techniques

State-of-the-art device fabrication techniques State-of-the-art device fabrication techniques! Standard Photo-lithography and e-beam lithography! Advanced lithography techniques used in semiconductor industry Deposition: Thermal evaporation, e-gun

More information

Nano Fabrication. ChiiDong Chen Institute of Physics, Academia Sinica

Nano Fabrication. ChiiDong Chen Institute of Physics, Academia Sinica Nano Fabrication ChiiDong Chen Institute of Physics, Academia Sinica e-mail: chiidong@phys.sinica.edu.tw Web: http://www.phys.sinica.edu.tw/~quela TEL : 02 2789 6766 State-of-the-art device fabrication

More information

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. The lithographic process Section 2: Lithography Jaeger Chapter 2 Litho Reader The lithographic process Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered with silicon dioxide barrier layer Positive photoresist

More information

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1

Section 2: Lithography. Jaeger Chapter 2 Litho Reader. EE143 Ali Javey Slide 5-1 Section 2: Lithography Jaeger Chapter 2 Litho Reader EE143 Ali Javey Slide 5-1 The lithographic process EE143 Ali Javey Slide 5-2 Photolithographic Process (a) (b) (c) (d) (e) (f) (g) Substrate covered

More information

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004

Lithography. 3 rd. lecture: introduction. Prof. Yosi Shacham-Diamand. Fall 2004 Lithography 3 rd lecture: introduction Prof. Yosi Shacham-Diamand Fall 2004 1 List of content Fundamental principles Characteristics parameters Exposure systems 2 Fundamental principles Aerial Image Exposure

More information

Photolithography I ( Part 1 )

Photolithography I ( Part 1 ) 1 Photolithography I ( Part 1 ) Chapter 13 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Bjørn-Ove Fimland, Department of Electronics and Telecommunication, Norwegian University of Science

More information

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 3: Lithography Reading: Jaeger, Chap. 2 EE143 Fall 2016 Microfabrication Technologies Lecture 3: Lithography Reading: Jaeger, Chap. 2 Prof. Ming C. Wu wu@eecs.berkeley.edu 511 Sutardja Dai Hall (SDH) 1-1 The lithographic process 1-2 1 Photolithographic

More information

Newer process technology (since 1999) includes :

Newer process technology (since 1999) includes : Newer process technology (since 1999) includes : copper metalization hi-k dielectrics for gate insulators si on insulator strained silicon lo-k dielectrics for interconnects Immersion lithography for masks

More information

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen

5. Lithography. 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen 5. Lithography 1. photolithography intro: overall, clean room 2. principle 3. tools 4. pattern transfer 5. resolution 6. next-gen References: Semiconductor Devices: Physics and Technology. 2 nd Ed. SM

More information

Introduction of New Products

Introduction of New Products Field Emission Electron Microscope JEM-3100F For evaluation of materials in the fields of nanoscience and nanomaterials science, TEM is required to provide resolution and analytical capabilities that can

More information

Major Fabrication Steps in MOS Process Flow

Major Fabrication Steps in MOS Process Flow Major Fabrication Steps in MOS Process Flow UV light Mask oxygen Silicon dioxide photoresist exposed photoresist oxide Silicon substrate Oxidation (Field oxide) Photoresist Coating Mask-Wafer Alignment

More information

Part 5-1: Lithography

Part 5-1: Lithography Part 5-1: Lithography Yao-Joe Yang 1 Pattern Transfer (Patterning) Types of lithography systems: Optical X-ray electron beam writer (non-traditional, no masks) Two-dimensional pattern transfer: limited

More information

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology. Photolithography: Resist Development and Advanced Lithography Semiconductor Manufacturing Technology Michael Quirk & Julian Serda October 2001 by Prentice Hall Chapter 15 Photolithography: Resist Development and Advanced Lithography Eight Basic Steps of Photolithography

More information

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs

CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs CMOS Digital Integrated Circuits Lec 2 Fabrication of MOSFETs 1 CMOS Digital Integrated Circuits 3 rd Edition Categories of Materials Materials can be categorized into three main groups regarding their

More information

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices

ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices ECE 5745 Complex Digital ASIC Design Topic 2: CMOS Devices Christopher Batten School of Electrical and Computer Engineering Cornell University http://www.csl.cornell.edu/courses/ece5950 Simple Transistor

More information

Scanning electron microscope

Scanning electron microscope Scanning electron microscope 5 th CEMM workshop Maja Koblar, Sc. Eng. Physics Outline The basic principle? What is an electron? Parts of the SEM Electron gun Electromagnetic lenses Apertures Detectors

More information

Scanning electron microscope

Scanning electron microscope Scanning electron microscope 6 th CEMM workshop Maja Koblar, Sc. Eng. Physics Outline The basic principle? What is an electron? Parts of the SEM Electron gun Electromagnetic lenses Apertures Chamber and

More information

Photolithography Technology and Application

Photolithography Technology and Application Photolithography Technology and Application Jeff Tsai Director, Graduate Institute of Electro-Optical Engineering Tatung University Art or Science? Lind width = 100 to 5 micron meter!! Resolution = ~ 3

More information

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7

Lecture 7. Lithography and Pattern Transfer. Reading: Chapter 7 Lecture 7 Lithography and Pattern Transfer Reading: Chapter 7 Used for Pattern transfer into oxides, metals, semiconductors. 3 types of Photoresists (PR): Lithography and Photoresists 1.) Positive: PR

More information

Zone-plate-array lithography using synchrotron radiation

Zone-plate-array lithography using synchrotron radiation Zone-plate-array lithography using synchrotron radiation A. Pépin, a) D. Decanini, and Y. Chen Laboratoire de Microstructures et de Microélectronique (L2M), CNRS, 196 avenue Henri-Ravéra, 92225 Bagneux,

More information

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued)

Module 11: Photolithography. Lecture 14: Photolithography 4 (Continued) Module 11: Photolithography Lecture 14: Photolithography 4 (Continued) 1 In the previous lecture, we have discussed the utility of the three printing modes, and their relative advantages and disadvantages.

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Contact Printing light

More information

Photolithography II ( Part 2 )

Photolithography II ( Part 2 ) 1 Photolithography II ( Part 2 ) Chapter 14 : Semiconductor Manufacturing Technology by M. Quirk & J. Serda Saroj Kumar Patra, Department of Electronics and Telecommunication, Norwegian University of Science

More information

Electron Beam Lithography. Adam Ramm

Electron Beam Lithography. Adam Ramm Electron Beam Lithography Adam Ramm Why use electrons? Negligible diffraction limitations: R = k λ NA With current optical technology, this equates to about 45nm resolution. For an electron, wavelength

More information

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell!

PHGN/CHEN/MLGN 435/535: Interdisciplinary Silicon Processing Laboratory. Simple Si solar Cell! Where were we? Simple Si solar Cell! Two Levels of Masks - photoresist, alignment Etch and oxidation to isolate thermal oxide, deposited oxide, wet etching, dry etching, isolation schemes Doping - diffusion/ion

More information

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi

Optical Lithography. Keeho Kim Nano Team / R&D DongbuAnam Semi Optical Lithography Keeho Kim Nano Team / R&D DongbuAnam Semi Contents Lithography = Photolithography = Optical Lithography CD : Critical Dimension Resist Pattern after Development Exposure Contents Optical

More information

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology

Dr. Dirk Meyners Prof. Wagner. Wagner / Meyners Micro / Nanosystems Technology Micro/Nanosystems Technology Dr. Dirk Meyners Prof. Wagner 1 Outline - Lithography Overview - UV-Lithography - Resolution Enhancement Techniques - Electron Beam Lithography - Patterning with Focused Ion

More information

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC)

Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Results of Proof-of-Concept 50keV electron multi-beam Mask Exposure Tool (emet POC) Elmar Platzgummer *, Christof Klein, and Hans Loeschner IMS Nanofabrication AG Schreygasse 3, A-1020 Vienna, Austria

More information

Sub-50 nm period patterns with EUV interference lithography

Sub-50 nm period patterns with EUV interference lithography Microelectronic Engineering 67 68 (2003) 56 62 www.elsevier.com/ locate/ mee Sub-50 nm period patterns with EUV interference lithography * a, a a b b b H.H. Solak, C. David, J. Gobrecht, V. Golovkina,

More information

Waveguiding in PMMA photonic crystals

Waveguiding in PMMA photonic crystals ROMANIAN JOURNAL OF INFORMATION SCIENCE AND TECHNOLOGY Volume 12, Number 3, 2009, 308 316 Waveguiding in PMMA photonic crystals Daniela DRAGOMAN 1, Adrian DINESCU 2, Raluca MÜLLER2, Cristian KUSKO 2, Alex.

More information

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag FABRICATION OF CMOS INTEGRATED CIRCUITS Dr. Mohammed M. Farag Outline Overview of CMOS Fabrication Processes The CMOS Fabrication Process Flow Design Rules Reference: Uyemura, John P. "Introduction to

More information

420 Intro to VLSI Design

420 Intro to VLSI Design Dept of Electrical and Computer Engineering 420 Intro to VLSI Design Lecture 0: Course Introduction and Overview Valencia M. Joyner Spring 2005 Getting Started Syllabus About the Instructor Labs, Problem

More information

Lecture 0: Introduction

Lecture 0: Introduction Lecture 0: Introduction Introduction Integrated circuits: many transistors on one chip. Very Large Scale Integration (VLSI): bucketloads! Complementary Metal Oxide Semiconductor Fast, cheap, low power

More information

Lithography. Development of High-Quality Attenuated Phase-Shift Masks

Lithography. Development of High-Quality Attenuated Phase-Shift Masks Lithography S P E C I A L Development of High-Quality Attenuated Phase-Shift Masks by Toshihiro Ii and Masao Otaki, Toppan Printing Co., Ltd. Along with the year-by-year acceleration of semiconductor device

More information

Micro- and Nano-Technology... for Optics

Micro- and Nano-Technology... for Optics Micro- and Nano-Technology...... for Optics 3.2 Lithography U.D. Zeitner Fraunhofer Institut für Angewandte Optik und Feinmechanik Jena Printing on Stones Map of Munich Stone Print Shadow Printing Photomask

More information

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT

NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY. Cheng-Sheng Huang & Alvin Chang ABSTRACT NANOFABRICATION, THE NEW GENERATION OF LITHOGRAPHY Cheng-Sheng Huang & Alvin Chang ABSTRACT Fabrication on the micro- and nano-structure has opened the new horizons in science and engineering. The success

More information

MICRO AND NANOPROCESSING TECHNOLOGIES

MICRO AND NANOPROCESSING TECHNOLOGIES MICRO AND NANOPROCESSING TECHNOLOGIES LECTURE 4 Optical lithography Concepts and processes Lithography systems Fundamental limitations and other issues Photoresists Photolithography process Process parameter

More information

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline

ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography. Lecture Outline ECSE 6300 IC Fabrication Laboratory Lecture 3 Photolithography Prof. James J. Q. Lu Bldg. CII, Rooms 6229 Rensselaer Polytechnic Institute Troy, NY 12180 Tel. (518)276 2909 e mails: luj@rpi.edu http://www.ecse.rpi.edu/courses/s18/ecse

More information

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz

IWORID J. Schmitz page 1. Wafer-level CMOS post-processing Jurriaan Schmitz IWORID J. Schmitz page 1 Wafer-level CMOS post-processing Jurriaan Schmitz IWORID J. Schmitz page 2 Outline Introduction on wafer-level post-proc. CMOS: a smart, but fragile substrate Post-processing steps

More information

MODULE I SCANNING ELECTRON MICROSCOPE (SEM)

MODULE I SCANNING ELECTRON MICROSCOPE (SEM) MODULE I SCANNING ELECTRON MICROSCOPE (SEM) Scanning Electron Microscope (SEM) Initially, the plan of SEM was offered by H. Stintzing in 1927 (a German patent application). His suggested procedure was

More information

Fabrication of Probes for High Resolution Optical Microscopy

Fabrication of Probes for High Resolution Optical Microscopy Fabrication of Probes for High Resolution Optical Microscopy Physics 564 Applied Optics Professor Andrès La Rosa David Logan May 27, 2010 Abstract Near Field Scanning Optical Microscopy (NSOM) is a technique

More information

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced.

Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Unit 1 Basic MOS Technology Transistor was first invented by William.B.Shockley, Walter Brattain and John Bardeen of Bell Labratories. In 1961, first IC was introduced. Levels of Integration:- i) SSI:-

More information

Quantized patterning using nanoimprinted blanks

Quantized patterning using nanoimprinted blanks IOP PUBLISHING Nanotechnology 20 (2009) 155303 (7pp) Quantized patterning using nanoimprinted blanks NANOTECHNOLOGY doi:10.1088/0957-4484/20/15/155303 Stephen Y Chou 1, Wen-Di Li and Xiaogan Liang NanoStructure

More information

Lecture 8. Microlithography

Lecture 8. Microlithography Lecture 8 Microlithography Lithography Introduction Process Flow Wafer Exposure Systems Masks Resists State of the Art Lithography Next Generation Lithography (NGL) Recommended videos: http://www.youtube.com/user/asmlcompany#p/search/1/jh6urfqt_d4

More information

Lecture 13 Basic Photolithography

Lecture 13 Basic Photolithography Lecture 13 Basic Photolithography Chapter 12 Wolf and Tauber 1/64 Announcements Homework: Homework 3 is due today, please hand them in at the front. Will be returned one week from Thursday (16 th Nov).

More information

Fabrication Techniques of Optical ICs

Fabrication Techniques of Optical ICs Fabrication Techniques of Optical ICs Processing Techniques Lift off Process Etching Process Patterning Techniques Photo Lithography Electron Beam Lithography Photo Resist ( Microposit MP1300) Electron

More information

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam

Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Machine-Aligned Fabrication of Submicron SIS Tunnel Junctions Using a Focused Ion Beam Robert. B. Bass, Jian. Z. Zhang and Aurthur. W. Lichtenberger Department of Electrical Engineering, University of

More information

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA

write-nanocircuits Direct-write Jaebum Joo and Joseph M. Jacobson Molecular Machines, Media Lab Massachusetts Institute of Technology, Cambridge, MA Fab-in in-a-box: Direct-write write-nanocircuits Jaebum Joo and Joseph M. Jacobson Massachusetts Institute of Technology, Cambridge, MA April 17, 2008 Avogadro Scale Computing / 1 Avogadro number s? Intel

More information

Development of Nanoimprint Mold Using JBX-9300FS

Development of Nanoimprint Mold Using JBX-9300FS Development of Nanoimprint Mold Using JBX-9300FS Morihisa Hoga, Mikio Ishikawa, Naoko Kuwahara Tadahiko Takikawa and Shiho Sasaki Dai Nippon Printing Co., Ltd Research & Development Center Electronic Device

More information

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS

Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Lithographic Performance and Mix-and-Match Lithography using 100 kv Electron Beam System JBX-9300FS Yukinori Ochiai, Takashi Ogura, Mitsuru Narihiro, and Kohichi Arai Silicon Systems Research Laboratories,

More information

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith

Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry I. Smith 3. Spatial-Phase-Locked Electron-Beam Lithography Sponsors: No external sponsor Project Staff: Feng Zhang, Prof. Jianfeng Dai (Lanzhou Univ. of Tech.), Prof. Todd Hasting (Univ. Kentucky), Prof. Henry

More information

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng

EE4800 CMOS Digital IC Design & Analysis. Lecture 1 Introduction Zhuo Feng EE4800 CMOS Digital IC Design & Analysis Lecture 1 Introduction Zhuo Feng 1.1 Prof. Zhuo Feng Office: EERC 730 Phone: 487-3116 Email: zhuofeng@mtu.edu Class Website http://www.ece.mtu.edu/~zhuofeng/ee4800fall2010.html

More information

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS

MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS MICROSTRUCTURING OF METALLIC LAYERS FOR SENSOR APPLICATIONS Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Michal URBÁNEK, Milan MATĚJKA, Jana CHLUMSKÁ, Miroslav HORÁČEK, Institute of Scientific Instruments of the

More information

MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications

MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications MEMS for RF, Micro Optics and Scanning Probe Nanotechnology Applications Part I: RF Applications Introductions and Motivations What are RF MEMS? Example Devices RFIC RFIC consists of Active components

More information

Supplementary information for Stretchable photonic crystal cavity with

Supplementary information for Stretchable photonic crystal cavity with Supplementary information for Stretchable photonic crystal cavity with wide frequency tunability Chun L. Yu, 1,, Hyunwoo Kim, 1, Nathalie de Leon, 1,2 Ian W. Frank, 3 Jacob T. Robinson, 1,! Murray McCutcheon,

More information

Nanotechnology, the infrastructure, and IBM s research projects

Nanotechnology, the infrastructure, and IBM s research projects Nanotechnology, the infrastructure, and IBM s research projects Dr. Paul Seidler Coordinator Nanotechnology Center, IBM Research - Zurich Nanotechnology is the understanding and control of matter at dimensions

More information

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells

Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Intel's 65 nm Logic Technology Demonstrated on 0.57 µm 2 SRAM Cells Mark Bohr Intel Senior Fellow Director of Process Architecture & Integration Intel 1 What are We Announcing? Intel has fabricated fully-functional

More information

Quasi-Phase-Matched Faraday Rotation in Semiconductor Waveguides with a Magneto-Optic Cladding for Monolithically Integrated Optical Isolators

Quasi-Phase-Matched Faraday Rotation in Semiconductor Waveguides with a Magneto-Optic Cladding for Monolithically Integrated Optical Isolators Quasi-Phase-Matched Faraday Rotation in Semiconductor Waveguides with a Magneto-Optic Cladding for Monolithically Integrated Optical Isolators Prof. David C. Hutchings, Barry M. Holmes and Cui Zhang, Acknowledgements

More information

Cavity QED with quantum dots in semiconductor microcavities

Cavity QED with quantum dots in semiconductor microcavities Cavity QED with quantum dots in semiconductor microcavities M. T. Rakher*, S. Strauf, Y. Choi, N.G. Stolz, K.J. Hennessey, H. Kim, A. Badolato, L.A. Coldren, E.L. Hu, P.M. Petroff, D. Bouwmeester University

More information

Basics and applications in nanolithography. E-beam lithography. David López-Romero CRESTEC-ISOM JACA CRESTEC Corp.

Basics and applications in nanolithography. E-beam lithography. David López-Romero CRESTEC-ISOM JACA CRESTEC Corp. Basics and applications in nanolithography E-beam lithography David López-Romero CRESTEC-ISOM JACA 2018 CRESTEC Corp. OUTLINE Presentation. E-beam lithography system basics. E-beam lithography technic

More information

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links

Monolithically integrated InGaAs nanowires on 3D. structured silicon-on-insulator as a new platform for. full optical links Monolithically integrated InGaAs nanowires on 3D structured silicon-on-insulator as a new platform for full optical links Hyunseok Kim 1, Alan C. Farrell 1, Pradeep Senanayake 1, Wook-Jae Lee 1,* & Diana.

More information

VLSI Design. Introduction

VLSI Design. Introduction Tassadaq Hussain VLSI Design Introduction Outcome of this course Problem Aims Objectives Outcomes Data Collection Theoretical Model Mathematical Model Validate Development Analysis and Observation Pseudo

More information

EE-527: MicroFabrication

EE-527: MicroFabrication EE-57: MicroFabrication Exposure and Imaging Photons white light Hg arc lamp filtered Hg arc lamp excimer laser x-rays from synchrotron Electrons Ions Exposure Sources focused electron beam direct write

More information

Semiconductor Technology

Semiconductor Technology Semiconductor Technology from A to Z + - x 1 0 x Photolithographie www.halbleiter.org Contents Contents List of Figures III 1 Photolithographie 1 1.1 Exposure and resist coating..........................

More information

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME

POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME POLYMER MICROSTRUCTURE WITH TILTED MICROPILLAR ARRAY AND METHOD OF FABRICATING THE SAME Field of the Invention The present invention relates to a polymer microstructure. In particular, the present invention

More information

Feature-level Compensation & Control

Feature-level Compensation & Control Feature-level Compensation & Control 2 Sensors and Control Nathan Cheung, Kameshwar Poolla, Costas Spanos Workshop 11/19/2003 3 Metrology, Control, and Integration Nathan Cheung, UCB SOI Wafers Multi wavelength

More information

MICROCHIP MANUFACTURING by S. Wolf

MICROCHIP MANUFACTURING by S. Wolf MICROCHIP MANUFACTURING by S. Wolf Chapter 19 LITHOGRAPHY II: IMAGE-FORMATION and OPTICAL HARDWARE 2004 by LATTICE PRESS CHAPTER 19 - CONTENTS Preliminaries: Wave- Motion & The Behavior of Light Resolution

More information

Module - 2 Lecture - 13 Lithography I

Module - 2 Lecture - 13 Lithography I Nano Structured Materials-Synthesis, Properties, Self Assembly and Applications Prof. Ashok. K.Ganguli Department of Chemistry Indian Institute of Technology, Delhi Module - 2 Lecture - 13 Lithography

More information

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics

Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1. Topics Chapter 3: Basics Semiconductor Devices and Processing 2006/9/27 1 Topics What is semiconductor Basic semiconductor devices Basics of IC processing CMOS technologies 2006/9/27 2 1 What is Semiconductor

More information

Supplementary information for

Supplementary information for Supplementary information for A fast and low power microelectromechanical system based nonvolatile memory device Sang Wook Lee, Seung Joo Park, Eleanor E. B. Campbell & Yung Woo Park The supplementary

More information

Scanning Electron Microscopy Basics and Applications

Scanning Electron Microscopy Basics and Applications Scanning Electron Microscopy Basics and Applications Dr. Julia Deuschle Stuttgart Center for Electron Microscopy MPI for Solid State Research Room: 1E15, phone: 0711/ 689-1193 email: j.deuschle@fkf.mpg.de

More information

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble

Development of a LFLE Double Pattern Process for TE Mode Photonic Devices. Mycahya Eggleston Advisor: Dr. Stephen Preble Development of a LFLE Double Pattern Process for TE Mode Photonic Devices Mycahya Eggleston Advisor: Dr. Stephen Preble 2 Introduction and Motivation Silicon Photonics Geometry, TE vs TM, Double Pattern

More information

Corporate Introduction of CRESTEC CORPORATION Expert in E-Beam Nanofabrication

Corporate Introduction of CRESTEC CORPORATION Expert in E-Beam Nanofabrication Corporate Introduction of CRESTEC CORPORATION Expert in E-Beam Nanofabrication David López-Romero Moraleda. Technical Support Manager, Crestec Corporation Spain Branch. Financiación-Internacionalización-Cooperación.

More information

Micro- and Nano- Fabrication and Replication Techniques

Micro- and Nano- Fabrication and Replication Techniques Micro- and Nano- Fabrication and Replication Techniques Why do we have to write thing small and replicate fast? Plenty of Room at the Bottom Richard P. Feynman, December 1959 How do we write it? We have

More information

Optical Issues in Photolithography

Optical Issues in Photolithography OpenStax-CNX module: m25448 1 Optical Issues in Photolithography Andrew R. Barron This work is produced by OpenStax-CNX and licensed under the Creative Commons Attribution License 3.0 note: This module

More information

Nanostencil Lithography and Nanoelectronic Applications

Nanostencil Lithography and Nanoelectronic Applications Microsystems Laboratory Nanostencil Lithography and Nanoelectronic Applications Oscar Vazquez, Marc van den Boogaart, Dr. Lianne Doeswijk, Prof. Juergen Brugger, LMIS1 Dr. Chan Woo Park, Visiting Professor

More information

Device Fabrication: Photolithography

Device Fabrication: Photolithography Device Fabrication: Photolithography 1 Objectives List the four components of the photoresist Describe the difference between +PR and PR Describe a photolithography process sequence List four alignment

More information

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU

Outline. 1 Introduction. 2 Basic IC fabrication processes. 3 Fabrication techniques for MEMS. 4 Applications. 5 Mechanics issues on MEMS MDL NTHU Outline 1 Introduction 2 Basic IC fabrication processes 3 Fabrication techniques for MEMS 4 Applications 5 Mechanics issues on MEMS 2.2 Lithography Reading: Runyan Chap. 5, or 莊達人 Chap. 7, or Wolf and

More information

Miniature Mid-Infrared Thermooptic Switch with Photonic Crystal Waveguide Based Silicon-on-Sapphire Mach Zehnder Interferometers

Miniature Mid-Infrared Thermooptic Switch with Photonic Crystal Waveguide Based Silicon-on-Sapphire Mach Zehnder Interferometers Miniature Mid-Infrared Thermooptic Switch with Photonic Crystal Waveguide Based Silicon-on- Mach Zehnder Interferometers Yi Zou, 1,* Swapnajit Chakravarty, 2,* Chi-Jui Chung, 1 1, 2, * and Ray T. Chen

More information

NANO MODIFICATION OF THE W(100)/ZrO ELECTRON EMITTER TIP USING REACTIVE ION ETCHING

NANO MODIFICATION OF THE W(100)/ZrO ELECTRON EMITTER TIP USING REACTIVE ION ETCHING NANO MODIFICATION OF THE W(100)/ZrO ELECTRON EMITTER TIP USING REACTIVE ION ETCHING Miroslav HORÁČEK, František MATĚJKA, Vladimír KOLAŘÍK, Milan MATĚJKA, Michal URBÁNEK Ústav přístrojové techniky AV ČR,

More information

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801

Wu Lu Department of Electrical and Computer Engineering and Microelectronics Laboratory, University of Illinois, Urbana, Illinois 61801 Comparative study of self-aligned and nonself-aligned SiGe p-metal oxide semiconductor modulation-doped field effect transistors with nanometer gate lengths Wu Lu Department of Electrical and Computer

More information

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG

Electron Multi-Beam Technology for Mask and Wafer Direct Write. Elmar Platzgummer IMS Nanofabrication AG Electron Multi-Beam Technology for Mask and Wafer Direct Write Elmar Platzgummer IMS Nanofabrication AG Contents 2 Motivation for Multi-Beam Mask Writer (MBMW) MBMW Tool Principles and Architecture MBMW

More information

Topic 3. CMOS Fabrication Process

Topic 3. CMOS Fabrication Process Topic 3 CMOS Fabrication Process Peter Cheung Department of Electrical & Electronic Engineering Imperial College London URL: www.ee.ic.ac.uk/pcheung/ E-mail: p.cheung@ic.ac.uk Lecture 3-1 Layout of a Inverter

More information

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626

OPTI510R: Photonics. Khanh Kieu College of Optical Sciences, University of Arizona Meinel building R.626 OPTI510R: Photonics Khanh Kieu College of Optical Sciences, University of Arizona kkieu@optics.arizona.edu Meinel building R.626 Announcements Homework #3 is due today No class Monday, Feb 26 Pre-record

More information

Lecture 5. Optical Lithography

Lecture 5. Optical Lithography Lecture 5 Optical Lithography Intro For most of microfabrication purposes the process (e.g. additive, subtractive or implantation) has to be applied selectively to particular areas of the wafer: patterning

More information

Update on 193nm immersion exposure tool

Update on 193nm immersion exposure tool Update on 193nm immersion exposure tool S. Owa, H. Nagasaka, Y. Ishii Nikon Corporation O. Hirakawa and T. Yamamoto Tokyo Electron Kyushu Ltd. January 28, 2004 Litho Forum 1 What is immersion lithography?

More information

Chapter 2 Silicon Planar Processing and Photolithography

Chapter 2 Silicon Planar Processing and Photolithography Chapter 2 Silicon Planar Processing and Photolithography The success of the electronics industry has been due in large part to advances in silicon integrated circuit (IC) technology based on planar processing,

More information

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors

Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors Supplementary Information Transparent p-type SnO Nanowires with Unprecedented Hole Mobility among Oxide Semiconductors J. A. Caraveo-Frescas and H. N. Alshareef* Materials Science and Engineering, King

More information

S200 Course LECTURE 1 TEM

S200 Course LECTURE 1 TEM S200 Course LECTURE 1 TEM Development of Electron Microscopy 1897 Discovery of the electron (J.J. Thompson) 1924 Particle and wave theory (L. de Broglie) 1926 Electromagnetic Lens (H. Busch) 1932 Construction

More information

Supplementary Materials for

Supplementary Materials for www.sciencemag.org/cgi/content/full/science.1234855/dc1 Supplementary Materials for Taxel-Addressable Matrix of Vertical-Nanowire Piezotronic Transistors for Active/Adaptive Tactile Imaging Wenzhuo Wu,

More information

VLSI Design. Introduction

VLSI Design. Introduction VLSI Design Introduction Outline Introduction Silicon, pn-junctions and transistors A Brief History Operation of MOS Transistors CMOS circuits Fabrication steps for CMOS circuits Introduction Integrated

More information

(Complementary E-Beam Lithography)

(Complementary E-Beam Lithography) Extending Optical Lithography with C E B L (Complementary E-Beam Lithography) July 13, 2011 4008 Burton Drive, Santa Clara, CA 95054 Outline Complementary Lithography E-Beam Complements Optical Multibeam

More information

Simulation of High Resistivity (CMOS) Pixels

Simulation of High Resistivity (CMOS) Pixels Simulation of High Resistivity (CMOS) Pixels Stefan Lauxtermann, Kadri Vural Sensor Creations Inc. AIDA-2020 CMOS Simulation Workshop May 13 th 2016 OUTLINE 1. Definition of High Resistivity Pixel Also

More information

Scanning Electron Microscopy. EMSE-515 F. Ernst

Scanning Electron Microscopy. EMSE-515 F. Ernst Scanning Electron Microscopy EMSE-515 F. Ernst 1 2 Scanning Electron Microscopy Max Knoll Manfred von Ardenne Manfred von Ardenne Principle of Scanning Electron Microscopy 3 Principle of Scanning Electron

More information

EUV Substrate and Blank Inspection

EUV Substrate and Blank Inspection EUV Substrate and Blank Inspection SEMATECH EUV Workshop 10/11/99 Steve Biellak KLA-Tencor RAPID Division *This work is partially funded by NIST-ATP project 98-06, Project Manager Purabi Mazumdar 1 EUV

More information

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI

Lecture: Integration of silicon photonics with electronics. Prepared by Jean-Marc FEDELI CEA-LETI Lecture: Integration of silicon photonics with electronics Prepared by Jean-Marc FEDELI CEA-LETI Context The goal is to give optical functionalities to electronics integrated circuit (EIC) The objectives

More information

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers.

Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. Copyright 2000 by the Society of Photo-Optical Instrumentation Engineers. This paper was published in the proceedings of the 20 th Annual BACUS Symposium on Photomask Technology SPIE Vol. 4186, pp. 503-507.

More information

Chapter 3 Basics Semiconductor Devices and Processing

Chapter 3 Basics Semiconductor Devices and Processing Chapter 3 Basics Semiconductor Devices and Processing 1 Objectives Identify at least two semiconductor materials from the periodic table of elements List n-type and p-type dopants Describe a diode and

More information

Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation

Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation Hitachi Review Vol. 49 (2000), No. 4 199 Semiconductor Manufacturing and Inspection Technologies for the 0.1 µm Process Generation Takafumi Tokunaga Katsutaka Kimura Jun Nakazato Masaki Nagao, D. Eng.

More information